Index of /alpine/edge/testing/riscv64

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]3proxy-0.9.4-r2.apk2025-04-07 08:32 348K 
[   ]3proxy-doc-0.9.4-r2.apk2025-04-07 08:32 24K 
[   ]3proxy-openrc-0.9.4-r2.apk2025-04-07 08:32 1.4K 
[   ]9base-6-r2.apk2024-10-02 07:08 2.2M 
[   ]9base-doc-6-r2.apk2024-10-02 07:08 63K 
[   ]9base-troff-6-r2.apk2024-10-02 07:08 501K 
[   ]66-0.8.2.1-r0.apk2025-06-03 19:12 305K 
[   ]66-dbg-0.8.2.1-r0.apk2025-06-03 19:12 772K 
[   ]66-dev-0.8.2.1-r0.apk2025-06-03 19:12 3.4M 
[   ]66-doc-0.8.2.1-r0.apk2025-06-03 19:12 190K 
[   ]66-init-0.8.2.1-r0.apk2025-06-03 19:12 1.3K 
[   ]66-tools-0.1.2.0-r0.apk2025-06-01 13:15 57K 
[   ]66-tools-dbg-0.1.2.0-r0.apk2025-06-01 13:15 109K 
[   ]66-tools-dev-0.1.2.0-r0.apk2025-06-01 13:15 1.7K 
[   ]66-tools-doc-0.1.2.0-r0.apk2025-06-01 13:15 39K 
[   ]66-tools-nsrules-0.1.2.0-r0.apk2025-06-01 13:15 2.6K 
[   ]APKINDEX.tar.gz2025-10-21 07:36 674K 
[   ]a2jmidid-9-r3.apk2022-10-07 06:48 25K 
[   ]a2jmidid-doc-9-r3.apk2022-10-07 06:48 3.9K 
[   ]ab-tidy-0.1.0-r2.apk2025-02-24 01:11 92K 
[   ]abc-0_git20240102-r0.apk2024-01-19 00:24 5.1M 
[   ]abnfgen-0.21-r0.apk2025-05-27 21:28 17K 
[   ]abnfgen-doc-0.21-r0.apk2025-05-27 21:28 4.8K 
[   ]ace-of-penguins-1.4-r3.apk2024-09-15 04:41 160K 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-09-15 04:41 48K 
[   ]acmetool-0.2.2-r17.apk2025-10-15 12:15 4.4M 
[   ]acmetool-doc-0.2.2-r17.apk2025-10-15 12:15 46K 
[   ]adguardhome-0.107.66-r1.apk2025-10-15 12:15 11M 
[   ]adguardhome-openrc-0.107.66-r1.apk2025-10-15 12:15 1.9K 
[   ]adjtimex-1.29-r0.apk2021-12-31 21:27 17K 
[   ]adjtimex-doc-1.29-r0.apk2021-12-31 21:27 7.1K 
[   ]admesh-0.98.5-r0.apk2022-12-05 10:15 24K 
[   ]admesh-dev-0.98.5-r0.apk2022-12-05 10:15 3.8K 
[   ]admesh-doc-0.98.5-r0.apk2022-12-05 10:15 23K 
[   ]advancemame-3.9-r4.apk2023-03-15 22:18 12M 
[   ]advancemame-data-3.9-r4.apk2023-03-15 22:18 5.8M 
[   ]advancemame-doc-3.9-r4.apk2023-03-15 22:18 373K 
[   ]advancemame-menu-3.9-r4.apk2023-03-15 22:18 742K 
[   ]advancemame-mess-3.9-r4.apk2023-03-15 22:18 3.3M 
[   ]advancescan-1.18-r1.apk2022-10-25 13:04 256K 
[   ]advancescan-doc-1.18-r1.apk2022-10-25 13:04 7.0K 
[   ]afetch-2.2.0-r1.apk2022-10-25 13:04 7.7K 
[   ]afetch-doc-2.2.0-r1.apk2022-10-25 13:04 13K 
[   ]afew-3.0.1-r0.apk2025-05-18 23:11 73K 
[   ]afew-doc-3.0.1-r0.apk2025-05-18 23:11 12K 
[   ]agate-3.3.19-r0.apk2025-09-28 04:37 730K 
[   ]agate-openrc-3.3.19-r0.apk2025-09-28 04:37 1.7K 
[   ]aggregate6-1.0.14-r0.apk2025-10-15 12:15 7.0K 
[   ]aggregate6-doc-1.0.14-r0.apk2025-10-15 12:15 2.7K 
[   ]aggregate6-pyc-1.0.14-r0.apk2025-10-15 12:15 5.5K 
[   ]agrep-0.8.0-r2.apk2023-05-21 12:09 7.7K 
[   ]agrep-doc-0.8.0-r2.apk2023-05-21 12:09 3.9K 
[   ]aide-0.19.2-r0.apk2025-09-26 04:01 83K 
[   ]aide-doc-0.19.2-r0.apk2025-09-26 04:01 15K 
[   ]alarmwakeup-0.2.1-r0.apk2023-10-06 05:59 6.0K 
[   ]alarmwakeup-dbg-0.2.1-r0.apk2023-10-06 05:59 17K 
[   ]alarmwakeup-dev-0.2.1-r0.apk2023-10-06 05:59 2.3K 
[   ]alarmwakeup-libs-0.2.1-r0.apk2023-10-06 05:59 4.0K 
[   ]alarmwakeup-utils-0.2.1-r0.apk2023-10-06 05:59 3.7K 
[   ]alertmanager-irc-relay-0.5.1-r1.apk2025-09-26 04:01 4.7M 
[   ]alertmanager-irc-relay-openrc-0.5.1-r1.apk2025-09-26 04:01 1.7K 
[   ]alpine-lift-0.2.0-r27.apk2025-10-15 12:15 3.6MAlpine Linux
[   ]alps-0_git20230807-r16.apk2025-10-15 12:15 5.5M 
[   ]alps-openrc-0_git20230807-r16.apk2025-10-15 12:15 1.8K 
[   ]alttab-1.7.1-r0.apk2023-06-09 22:28 38K 
[   ]alttab-doc-1.7.1-r0.apk2023-06-09 22:28 10K 
[   ]amber-0.4.0_alpha-r0.apk2025-09-26 04:01 531K 
[   ]amber-mpris-1.2.9-r0.apk2024-12-22 17:11 204K 
[   ]amber-mpris-dev-1.2.9-r0.apk2024-12-22 17:11 6.5K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-08-07 01:50 14K 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-08-07 01:50 9.4K 
[   ]amdgpu_top-0.11.0-r0.apk2025-09-03 01:09 6.9M 
[   ]amdgpu_top-doc-0.11.0-r0.apk2025-09-03 01:09 3.7K 
[   ]amiitool-2-r2.apk2024-04-30 01:05 7.0K 
[   ]ampy-1.1.0-r6.apk2025-03-19 18:47 15K 
[   ]ampy-doc-1.1.0-r6.apk2025-03-19 18:47 3.8K 
[   ]ampy-pyc-1.1.0-r6.apk2025-03-19 18:47 19K 
[   ]amule-2.3.3-r13.apk2024-04-30 01:05 4.1M 
[   ]amule-doc-2.3.3-r13.apk2024-04-30 01:05 281K 
[   ]amule-lang-2.3.3-r13.apk2024-04-30 01:05 1.6M 
[   ]anarch-1.0-r1.apk2022-10-07 06:49 94K 
[   ]anarch-doc-1.0-r1.apk2022-10-07 06:49 18K 
[   ]anari-sdk-0.7.2-r0.apk2023-12-14 16:54 187K 
[   ]anari-sdk-dev-0.7.2-r0.apk2023-12-14 16:54 56K 
[   ]anari-sdk-static-0.7.2-r0.apk2023-12-14 16:54 95K 
[   ]android-apkeep-0.17.0-r0.apk2024-10-12 06:29 1.8M 
[   ]android-build-tools-15.0-r0.apk2025-08-04 18:12 1.8M 
[   ]android-file-transfer-4.5-r0.apk2025-06-24 23:05 193K 
[   ]android-file-transfer-cli-4.5-r0.apk2025-06-24 23:05 112K 
[   ]android-file-transfer-dev-4.5-r0.apk2025-06-24 23:05 1.3K 
[   ]android-file-transfer-libs-4.5-r0.apk2025-06-24 23:05 133K 
[   ]angband-4.2.5-r0.apk2024-01-27 22:43 23M 
[   ]ansible-bender-0.10.1-r2.apk2024-04-30 01:05 36K 
[   ]ansible-bender-doc-0.10.1-r2.apk2024-04-30 01:05 9.8K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-04-30 01:05 65K 
[   ]ansiweather-1.19.0-r1.apk2023-07-30 12:12 4.5K 
[   ]ansiweather-doc-1.19.0-r1.apk2023-07-30 12:12 2.8K 
[   ]antibody-6.1.1-r32.apk2025-10-15 12:15 1.8M 
[   ]antimicrox-3.5.1-r0.apk2025-06-13 13:57 1.6M 
[   ]antimicrox-doc-3.5.1-r0.apk2025-06-13 13:57 24K 
[   ]anubis-1.22.0-r2.apk2025-10-15 12:15 8.8M 
[   ]anubis-doc-1.22.0-r2.apk2025-10-15 12:15 2.0K 
[   ]anubis-openrc-1.22.0-r2.apk2025-10-15 12:15 1.6K 
[   ]aoetools-37-r2.apk2025-01-15 04:10 21K 
[   ]aoetools-doc-37-r2.apk2025-01-15 04:10 13K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2023-05-21 12:09 59K 
[   ]apache-mod-auth-openidc-2.4.16.11-r1.apk2025-05-22 08:35 220K 
[   ]apache-mod-auth-openidc-doc-2.4.16.11-r1.apk2025-05-22 08:35 4.2K 
[   ]apache-mod-auth-openidc-static-2.4.16.11-r1.apk2025-05-22 08:35 539K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2021-07-27 08:10 6.9K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2021-07-27 08:10 10K 
[   ]apache2-mod-maxminddb-1.2.0-r0.apk2025-05-19 11:28 11K 
[   ]apache2-mod-perl-2.0.13-r2.apk2025-07-01 13:33 650K 
[   ]apache2-mod-perl-dbg-2.0.13-r2.apk2025-07-01 13:33 62K 
[   ]apache2-mod-perl-dev-2.0.13-r2.apk2025-07-01 13:33 38K 
[   ]apache2-mod-perl-doc-2.0.13-r2.apk2025-07-01 13:33 304K 
[   ]apache2-mod-realdoc-1-r1.apk2021-07-27 08:10 4.1K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-17 12:51 13K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-17 12:51 6.7K 
[   ]apk-snap-3.1.1-r0.apk2024-01-22 15:55 6.4K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-01-22 15:55 20K 
[   ]aports-glmr-0.2-r32.apk2025-10-15 12:15 2.5M 
[   ]appcenter-8.0.0-r0.apk2024-11-12 22:47 397K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 22:47 258K 
[   ]aprilsh-0.7.12-r9.apk2025-10-15 12:15 1.4K 
[   ]aprilsh-client-0.7.12-r9.apk2025-10-15 12:15 3.2M 
[   ]aprilsh-doc-0.7.12-r9.apk2025-10-15 12:15 14K 
[   ]aprilsh-openrc-0.7.12-r9.apk2025-10-15 12:15 1.6K 
[   ]aprilsh-server-0.7.12-r9.apk2025-10-15 12:15 2.4M 
[   ]apt-dater-1.0.4-r4.apk2024-10-01 05:21 57K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-01 05:21 9.6K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-01 05:21 13K 
[   ]apt-mirror-0.5.4-r0.apk2023-01-05 22:23 9.2K 
[   ]apt-mirror-doc-0.5.4-r0.apk2023-01-05 22:23 4.4K 
[   ]apt-swarm-0.5.1-r1.apk2025-10-15 12:15 2.9M 
[   ]apt-swarm-bash-completion-0.5.1-r1.apk2025-10-15 12:15 3.9K 
[   ]apt-swarm-fish-completion-0.5.1-r1.apk2025-10-15 12:15 5.5K 
[   ]apt-swarm-openrc-0.5.1-r1.apk2025-10-15 12:15 1.7K 
[   ]apt-swarm-zsh-completion-0.5.1-r1.apk2025-10-15 12:15 5.6K 
[   ]aptdec-1.8.0-r1.apk2025-02-08 23:43 85K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-08 23:43 3.2K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-08 23:43 15K 
[   ]apulse-0.1.14-r0.apk2025-09-26 04:01 41K 
[   ]apulse-doc-0.1.14-r0.apk2025-09-26 04:01 2.7K 
[   ]apx-2.4.5-r4.apk2025-10-15 12:15 3.4M 
[   ]apx-doc-2.4.5-r4.apk2025-10-15 12:15 2.1K 
[   ]aqemu-0.9.4-r3.apk2023-04-08 10:54 1.6M 
[   ]aqemu-doc-0.9.4-r3.apk2023-04-08 10:54 7.3K 
[   ]arc-20221218-r0.apk2023-01-08 00:41 1.5K 
[   ]arc-cinnamon-20221218-r0.apk2023-01-08 00:41 68K 
[   ]arc-dark-20221218-r0.apk2023-01-08 00:41 1.5K 
[   ]arc-dark-cinnamon-20221218-r0.apk2023-01-08 00:41 68K 
[   ]arc-dark-gnome-20221218-r0.apk2023-01-08 00:41 27K 
[   ]arc-dark-gtk2-20221218-r0.apk2023-01-08 00:41 38K 
[   ]arc-dark-gtk3-20221218-r0.apk2023-01-08 00:41 93K 
[   ]arc-dark-gtk4-20221218-r0.apk2023-01-08 00:41 86K 
[   ]arc-dark-metacity-20221218-r0.apk2023-01-08 00:41 17K 
[   ]arc-dark-xfwm-20221218-r0.apk2023-01-08 00:41 7.6K 
[   ]arc-darker-20221218-r0.apk2023-01-08 00:41 1.5K 
[   ]arc-darker-gtk2-20221218-r0.apk2023-01-08 00:41 38K 
[   ]arc-darker-gtk3-20221218-r0.apk2023-01-08 00:41 123K 
[   ]arc-darker-gtk4-20221218-r0.apk2023-01-08 00:41 110K 
[   ]arc-darker-metacity-20221218-r0.apk2023-01-08 00:41 17K 
[   ]arc-darker-xfwm-20221218-r0.apk2023-01-08 00:41 7.6K 
[   ]arc-gnome-20221218-r0.apk2023-01-08 00:41 28K 
[   ]arc-gtk2-20221218-r0.apk2023-01-08 00:41 37K 
[   ]arc-gtk3-20221218-r0.apk2023-01-08 00:41 126K 
[   ]arc-gtk4-20221218-r0.apk2023-01-08 00:41 113K 
[   ]arc-icon-theme-20161122-r0.apk2021-07-27 08:10 4.4M 
[   ]arc-lighter-20221218-r0.apk2023-01-08 00:41 1.5K 
[   ]arc-lighter-gtk2-20221218-r0.apk2023-01-08 00:41 37K 
[   ]arc-lighter-gtk3-20221218-r0.apk2023-01-08 00:41 124K 
[   ]arc-lighter-gtk4-20221218-r0.apk2023-01-08 00:41 113K 
[   ]arc-lighter-metacity-20221218-r0.apk2023-01-08 00:41 17K 
[   ]arc-lighter-xfwm-20221218-r0.apk2023-01-08 00:41 7.5K 
[   ]arc-metacity-20221218-r0.apk2023-01-08 00:41 17K 
[   ]arc-theme-20221218-r0.apk2023-01-08 00:41 1.2K 
[   ]arc-xfwm-20221218-r0.apk2023-01-08 00:41 7.4K 
[   ]argocd-3.1.4-r1.apk2025-10-15 12:15 41M 
[   ]argocd-bash-completion-3.1.4-r1.apk2025-10-15 12:15 21K 
[   ]argocd-doc-3.1.4-r1.apk2025-10-15 12:15 5.3K 
[   ]argocd-zsh-completion-3.1.4-r1.apk2025-10-15 12:15 3.8K 
[   ]arj-0_git20220125-r1.apk2022-10-14 19:59 142K 
[   ]arj-doc-0_git20220125-r1.apk2022-10-14 19:59 10K 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-03-03 07:17 1.6M 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-03-03 07:17 92K 
[   ]asdf-0.18.0-r4.apk2025-10-15 12:15 1.6M 
[   ]asdf-doc-0.18.0-r4.apk2025-10-15 12:15 2.0K 
[   ]aspell-es-1.11-r0.apk2022-05-22 15:02 533K 
[   ]atac-0.18.1-r0.apk2024-11-25 22:11 4.7M 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-03-25 12:20 381K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-03-25 12:20 79K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-03-25 12:20 68K 
[   ]atomicparsley-20240608-r0.apk2024-06-11 14:32 116K 
[   ]atool-0.39.0-r4.apk2022-10-25 13:04 17K 
[   ]atool-bash-completion-0.39.0-r4.apk2022-10-25 13:04 1.8K 
[   ]atool-doc-0.39.0-r4.apk2022-10-25 13:04 9.3K 
[   ]aufs-util-20161219-r3.apk2024-10-15 13:05 225K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-15 13:05 1.2K 
[   ]aufs-util-doc-20161219-r3.apk2024-10-15 13:05 34K 
[   ]authenticator-rs-0.8.6-r0.apk2025-09-01 18:59 2.2M 
[   ]authenticator-rs-lang-0.8.6-r0.apk2025-09-01 18:59 3.8K 
[   ]autoconf-policy-0.1-r0.apk2021-07-27 08:10 5.5K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-09-22 23:16 35K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-09-22 23:16 11K 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-09-22 23:16 54K 
[   ]autorestic-1.8.3-r9.apk2025-10-15 12:15 3.7M 
[   ]autotrash-0.4.7-r0.apk2024-10-15 21:24 23K 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-15 21:24 14K 
[   ]avahi2dns-0.1.0-r5.apk2025-10-15 12:15 2.4M 
[   ]avahi2dns-openrc-0.1.0-r5.apk2025-10-15 12:15 1.5K 
[   ]avara-0.7.1-r1.apk2024-11-04 08:53 21M 
[   ]avarice-2.14-r4.apk2024-09-22 19:58 98K 
[   ]avarice-doc-2.14-r4.apk2024-09-22 19:58 9.2K 
[   ]avra-1.4.2-r0.apk2023-08-21 05:36 40K 
[   ]avra-dev-1.4.2-r0.apk2023-08-21 05:36 254K 
[   ]aws-lc-1.62.0-r0.apk2025-10-15 12:15 1.0M 
[   ]aws-lc-dev-1.62.0-r0.apk2025-10-15 12:15 419K 
[   ]aws-lc-tools-1.62.0-r0.apk2025-10-15 12:15 144K 
[   ]azote-1.14.0-r0.apk2024-12-15 01:12 7.6M 
[   ]azote-pyc-1.14.0-r0.apk2024-12-15 01:12 98K 
[   ]azpainter-3.0.11-r0.apk2025-02-22 11:10 803K 
[   ]azpainter-doc-3.0.11-r0.apk2025-02-22 11:10 42K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2023-12-14 16:54 1.2M 
[   ]b2-tools-4.3.2-r0.apk2025-05-03 09:22 72K 
[   ]b2-tools-pyc-4.3.2-r0.apk2025-05-03 09:22 136K 
[   ]b2sum-20190729-r2.apk2022-10-25 13:04 16K 
[   ]b2sum-doc-20190729-r2.apk2022-10-25 13:04 2.5K 
[   ]backup-manager-0.7.15-r1.apk2022-10-25 13:04 55K 
[   ]bacon-3.18.0-r0.apk2025-09-30 17:59 1.8M 
[   ]baikal-0.10.1-r1.apk2025-05-27 21:44 1.3M 
[   ]baikal-mysql-0.10.1-r1.apk2025-05-27 21:44 1.0K 
[   ]baikal-pgsql-0.10.1-r1.apk2025-05-27 21:44 1.0K 
[   ]baikal-sqlite-0.10.1-r1.apk2025-05-27 21:44 1.2K 
[   ]bananui-2.0.0-r0.apk2023-10-06 05:59 56K 
[   ]bananui-clock-0.1.0-r0.apk2023-10-06 05:59 6.7K 
[   ]bananui-daemons-0.1.0-r0.apk2023-10-06 05:59 45K 
[   ]bananui-dbg-2.0.0-r0.apk2023-10-06 05:59 167K 
[   ]bananui-demos-2.0.0-r0.apk2023-10-06 05:59 8.7K 
[   ]bananui-dev-2.0.0-r0.apk2023-10-06 05:59 176K 
[   ]bananui-shell-0.2.0-r0.apk2024-03-03 07:17 106K 
[   ]baresip-4.1.0-r0.apk2025-10-04 05:28 1.0M 
[   ]baresip-dev-4.1.0-r0.apk2025-10-04 05:28 16K 
[   ]barman-3.15.0-r0.apk2025-08-12 12:35 374K 
[   ]barman-bash-completion-3.15.0-r0.apk2025-08-12 12:35 1.4K 
[   ]barman-doc-3.15.0-r0.apk2025-08-12 12:35 86K 
[   ]barman-pyc-3.15.0-r0.apk2025-08-12 12:35 589K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2022-10-25 13:04 116K 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2022-10-25 13:04 2.5K 
[   ]barrier-2.4.0-r2.apk2025-02-17 12:15 1.0M 
[   ]barrier-doc-2.4.0-r2.apk2025-02-17 12:15 13K 
[   ]bartib-1.0.1-r1.apk2025-07-16 12:25 380K 
[   ]base64c-0.2.1-r0.apk2023-12-14 16:54 4.0K 
[   ]base64c-dev-0.2.1-r0.apk2023-12-14 16:54 5.9K 
[   ]bash-pinyin-completion-rs-0.3.2-r0.apk2025-09-29 14:44 311K 
[   ]bash-pinyin-completion-rs-doc-0.3.2-r0.apk2025-09-29 14:44 13K 
[   ]bat-extras-2024.08.24-r0.apk2025-10-15 12:15 5.1K 
[   ]bat-extras-batdiff-2024.08.24-r0.apk2025-10-15 12:15 5.1K 
[   ]bat-extras-batgrep-2024.08.24-r0.apk2025-10-15 12:15 7.1K 
[   ]bat-extras-batman-2024.08.24-r0.apk2025-10-15 12:15 4.5K 
[   ]bat-extras-batpipe-2024.08.24-r0.apk2025-10-15 12:15 6.8K 
[   ]bat-extras-batwatch-2024.08.24-r0.apk2025-10-15 12:15 5.6K 
[   ]bat-extras-doc-2024.08.24-r0.apk2025-10-15 12:15 15K 
[   ]bat-extras-prettybat-2024.08.24-r0.apk2025-10-15 12:15 5.3K 
[   ]batmon-0.0.1-r0.apk2024-06-17 22:01 425K 
[   ]battery-limit-openrc-1-r0.apk2025-06-17 15:57 1.6K 
[   ]bcg729-1.1.1-r1.apk2025-10-15 22:29 35K 
[   ]bcg729-dev-1.1.1-r1.apk2025-10-15 22:29 3.4K 
[   ]bchunk-1.2.2-r3.apk2024-10-13 04:40 6.8K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-13 04:40 2.7K 
[   ]bdfr-2.6.2-r1.apk2024-04-30 01:05 131K 
[   ]beancount-language-server-1.4.1-r0.apk2025-08-03 11:22 1.4M 
[   ]beard-0.4-r0.apk2022-10-07 06:49 2.9K 
[   ]beard-doc-0.4-r0.apk2022-10-07 06:49 2.2K 
[   ]bees-0.10-r2.apk2024-09-29 15:31 294K 
[   ]bees-openrc-0.10-r2.apk2024-09-29 15:31 1.7K 
[   ]belcard-5.3.105-r0.apk2025-02-25 13:02 11K 
[   ]belcard-dev-5.3.105-r0.apk2025-02-25 13:02 11K 
[   ]belcard-libs-5.3.105-r0.apk2025-02-25 13:02 214K 
[   ]belle-sip-5.3.105-r0.apk2025-05-15 20:18 666K 
[   ]belle-sip-dev-5.3.105-r0.apk2025-05-15 20:18 54K 
[   ]belr-5.3.105-r0.apk2025-02-25 13:02 112K 
[   ]belr-dev-5.3.105-r0.apk2025-02-25 13:02 14K 
[   ]berry-lang-1.1.0-r0.apk2022-10-07 06:49 93K 
[   ]bestline-0.0_git20211108-r0.apk2022-02-13 14:17 19K 
[   ]bestline-dev-0.0_git20211108-r0.apk2022-02-13 14:17 1.7K 
[   ]bestline-doc-0.0_git20211108-r0.apk2022-02-13 14:17 18M 
[   ]bettercap-2.41.4-r2.apk2025-10-15 12:15 19M 
[   ]bettercap-doc-2.41.4-r2.apk2025-10-15 12:15 13K 
[   ]bgpq4-1.15-r0.apk2024-10-13 11:50 33K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-13 11:50 6.1K 
[   ]bgs-0.8-r1.apk2022-10-25 13:04 4.7K 
[   ]bgs-doc-0.8-r1.apk2022-10-25 13:04 2.0K 
[   ]biboumi-9.0-r9.apk2025-10-06 14:28 270K 
[   ]biboumi-doc-9.0-r9.apk2025-10-06 14:28 1.2K 
[   ]biboumi-openrc-9.0-r9.apk2025-10-06 14:28 1.6K 
[   ]bindfs-1.17.7-r1.apk2025-06-19 14:40 21K 
[   ]bindfs-doc-1.17.7-r1.apk2025-06-19 14:40 8.8K 
[   ]binwalk-3.1.0-r0.apk2025-02-07 17:17 1.0M 
[   ]biome-2.1.4-r0.apk2025-08-10 16:55 7.1M 
[   ]biometryd-0.3.2-r1.apk2025-10-04 02:34 314K 
[   ]biometryd-dev-0.3.2-r1.apk2025-10-04 02:34 13K 
[   ]birdtray-1.9.0-r1.apk2022-10-25 13:04 408K 
[   ]bitlbee-facebook-1.2.2-r0.apk2022-10-07 06:49 49K 
[   ]bitlbee-mastodon-1.4.5-r0.apk2022-07-05 16:03 41K 
[   ]bitritter-0.1.1-r0.apk2024-05-30 05:03 2.1M 
[   ]bkt-0.8.0-r0.apk2024-07-10 03:12 348K 
[   ]bkt-doc-0.8.0-r0.apk2024-07-10 03:12 7.0K 
[   ]blackbox-1.20220610-r1.apk2024-09-29 15:31 15K 
[   ]blip-0.10-r0.apk2021-07-27 08:10 15K 
[   ]blip-doc-0.10-r0.apk2021-07-27 08:10 30K 
[   ]bliss-0.77-r1.apk2023-08-19 19:23 67K 
[   ]bliss-dev-0.77-r1.apk2023-08-19 19:23 184K 
[   ]boa-cli-0.20-r0.apk2024-12-05 21:57 7.2M 
[   ]bochs-2.8-r1.apk2025-02-20 18:45 944K 
[   ]bochs-doc-2.8-r1.apk2025-02-20 18:45 139K 
[   ]bomctl-0.1.9-r10.apk2025-10-15 12:15 9.0M 
[   ]bomctl-bash-completion-0.1.9-r10.apk2025-10-15 12:15 4.9K 
[   ]bomctl-fish-completion-0.1.9-r10.apk2025-10-15 12:15 4.1K 
[   ]bomctl-zsh-completion-0.1.9-r10.apk2025-10-15 12:15 3.8K 
[   ]bonzomatic-20230615-r0.apk2023-09-28 05:17 650K 
[   ]bootchart2-0.14.9-r0.apk2025-01-03 17:12 136K 
[   ]bootinfo-0.1.0-r4.apk2024-08-07 01:50 19K 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-08-07 01:50 8.0K 
[   ]bootloose-0.7.1-r15.apk2025-10-15 12:15 2.2M 
[   ]bootterm-0.5-r0.apk2024-05-09 18:31 19K 
[   ]bootterm-dbg-0.5-r0.apk2024-05-09 18:31 2.1K 
[   ]boson-0_git20211219-r0.apk2022-07-10 18:36 16K 
[   ]botan2-2.19.5-r0.apk2025-05-28 22:57 390K 
[   ]botan2-dev-2.19.5-r0.apk2025-05-28 22:57 310K 
[   ]botan2-doc-2.19.5-r0.apk2025-05-28 22:57 306K 
[   ]botan2-libs-2.19.5-r0.apk2025-05-28 22:57 2.6M 
[   ]boxes-2.3.1-r0.apk2024-10-05 22:45 78K 
[   ]boxes-doc-2.3.1-r0.apk2024-10-05 22:45 6.9K 
[   ]brial-1.2.11-r4.apk2024-10-13 21:46 1.0M 
[   ]brial-dev-1.2.11-r4.apk2024-10-13 21:46 2.3M 
[   ]brltty-6.7-r1.apk2025-04-07 08:32 2.2M 
[   ]brltty-dev-6.7-r1.apk2025-04-07 08:32 140K 
[   ]brltty-doc-6.7-r1.apk2025-04-07 08:32 9.1K 
[   ]brltty-lang-6.7-r1.apk2025-04-07 08:32 148K 
[   ]brltty-static-6.7-r1.apk2025-04-07 08:32 41K 
[   ]btcd-0.24.0-r12.apk2025-10-15 12:15 15M 
[   ]btfs-2.24-r12.apk2024-04-30 01:05 30K 
[   ]btfs-doc-2.24-r12.apk2024-04-30 01:05 2.1K 
[   ]btpd-0.16-r2.apk2022-10-07 06:49 64K 
[   ]btpd-doc-0.16-r2.apk2022-10-07 06:49 8.1K 
[   ]budgie-control-center-1.4.0-r0.apk2025-10-16 18:43 3.0M 
[   ]budgie-control-center-bash-completion-1.4.0-r0.apk2025-10-16 18:43 2.0K 
[   ]budgie-control-center-lang-1.4.0-r0.apk2025-10-16 18:43 3.9M 
[   ]budgie-desktop-10.9.2-r0.apk2025-10-16 18:43 1.3M 
[   ]budgie-desktop-dev-10.9.2-r0.apk2025-10-16 18:43 18K 
[   ]budgie-desktop-doc-10.9.2-r0.apk2025-10-16 18:43 5.4K 
[   ]budgie-desktop-lang-10.9.2-r0.apk2025-10-16 18:43 586K 
[   ]budgie-screensaver-5.1.0-r0.apk2025-10-16 18:43 73K 
[   ]budgie-screensaver-doc-5.1.0-r0.apk2025-10-16 18:43 3.1K 
[   ]budgie-screensaver-lang-5.1.0-r0.apk2025-10-16 18:43 240K 
[   ]budgie-session-0.9.1-r0.apk2025-10-16 18:43 109K 
[   ]budgie-session-doc-0.9.1-r0.apk2025-10-16 18:43 5.0K 
[   ]budgie-session-lang-0.9.1-r0.apk2025-10-16 18:43 306K 
[   ]buf-1.56.0-r3.apk2025-10-15 12:15 13M 
[   ]buf-bash-completion-1.56.0-r3.apk2025-10-15 12:15 8.4K 
[   ]buf-fish-completion-1.56.0-r3.apk2025-10-15 12:15 4.0K 
[   ]buf-protoc-plugins-1.56.0-r3.apk2025-10-15 12:15 14M 
[   ]buf-zsh-completion-1.56.0-r3.apk2025-10-15 12:15 3.8K 
[   ]build-next-22.0.0_pre20250926-r0.apk2025-09-28 04:37 1.3K 
[   ]build-next-bfd-22.0.0_pre20250926-r0.apk2025-09-28 04:37 771K 
[   ]build-next-gas-22.0.0_pre20250926-r0.apk2025-09-28 04:37 659K 
[   ]build-next-overlay-22.0.0_pre20250926-r0.apk2025-09-28 04:37 2.7K 
[   ]buildcache-0.28.9-r0.apk2024-01-30 15:20 818K 
[   ]bump2version-1.0.1-r6.apk2024-04-30 01:05 21K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-04-30 01:05 29K 
[   ]burp-3.1.4-r0.apk2023-03-17 19:11 151K 
[   ]burp-doc-3.1.4-r0.apk2023-03-17 19:11 99K 
[   ]burp-server-3.1.4-r0.apk2023-03-17 19:11 36K 
[   ]butane-0.25.1-r1.apk2025-10-15 12:15 3.1M 
[   ]bwrap-oci-0.2-r1.apk2022-10-25 13:04 13K 
[   ]bwrap-oci-doc-0.2-r1.apk2022-10-25 13:04 2.2K 
[   ]bzmenu-0.2.1-r3.apk2025-08-10 16:55 1.1M 
[   ]cadence-0.9.2-r1.apk2025-10-15 12:15 1.5M 
[   ]caffeine-ng-4.2.0-r1.apk2024-04-30 01:05 100K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-04-30 01:05 2.9K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-04-30 01:05 34K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2025-03-10 19:00 24K 
[   ]capnet-assist-8.0.0-r0.apk2025-04-15 14:07 43K 
[   ]capnet-assist-lang-8.0.0-r0.apk2025-04-15 14:07 37K 
[   ]caps2esc-0.3.2-r0.apk2023-06-29 13:31 4.3K 
[   ]captive-browser-0_git20210801-r1.apk2025-10-15 12:15 1.2M 
[   ]captive-browser-doc-0_git20210801-r1.apk2025-10-15 12:15 3.4K 
[   ]cargo-crev-0.26.3-r0.apk2025-03-03 00:48 6.0M 
[   ]cargo-geiger-0.13.0-r0.apk2025-10-15 12:15 5.3M 
[   ]cargo-geiger-doc-0.13.0-r0.apk2025-10-15 12:15 7.4K 
[   ]cargo-generate-0.23.5-r0.apk2025-10-04 15:42 2.4M 
[   ]cargo-machete-0.9.1-r0.apk2025-08-17 18:57 1.3M 
[   ]cargo-machete-doc-0.9.1-r0.apk2025-08-17 18:57 4.1K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-01-19 02:19 426K 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-01-19 02:19 4.8K 
[   ]cargo-show-asm-0.2.51-r0.apk2025-07-16 12:25 888K 
[   ]cargo-show-asm-doc-0.2.51-r0.apk2025-07-16 12:25 9.7K 
[   ]cargo-shuttle-0.56.6-r0.apk2025-07-29 08:17 5.0M 
[   ]cargo-shuttle-bash-completion-0.56.6-r0.apk2025-07-29 08:17 4.9K 
[   ]cargo-shuttle-doc-0.56.6-r0.apk2025-07-29 08:17 8.7K 
[   ]cargo-shuttle-fish-completion-0.56.6-r0.apk2025-07-29 08:17 8.8K 
[   ]cargo-shuttle-zsh-completion-0.56.6-r0.apk2025-07-29 08:17 7.7K 
[   ]cargo-udeps-0.1.59-r0.apk2025-10-04 02:34 4.8M 
[   ]cargo-udeps-doc-0.1.59-r0.apk2025-10-04 02:34 7.2K 
[   ]cargo-update-16.2.1-r0.apk2025-03-23 14:03 1.1M 
[   ]cargo-update-doc-16.2.1-r0.apk2025-03-23 14:03 8.0K 
[   ]cargo-vendor-filterer-0.5.18-r0.apk2025-07-24 18:04 641K 
[   ]cartero-0.2.2-r0.apk2025-10-15 12:15 1.2M 
[   ]cartero-lang-0.2.2-r0.apk2025-10-15 12:15 45K 
[   ]castero-0.9.5-r4.apk2025-05-15 20:19 50K 
[   ]castero-pyc-0.9.5-r4.apk2025-05-15 20:19 94K 
[   ]castor-0.9.0-r2.apk2025-08-05 17:12 767K 
[   ]catcodec-1.0.5-r2.apk2022-05-22 15:02 12K 
[   ]catcodec-doc-1.0.5-r2.apk2022-05-22 15:02 4.9K 
[   ]catdoc-0.95-r1.apk2022-10-25 13:04 101K 
[   ]catdoc-doc-0.95-r1.apk2022-10-25 13:04 9.0K 
[   ]catfish-4.20.1-r0.apk2025-07-07 20:40 128K 
[   ]catfish-doc-4.20.1-r0.apk2025-07-07 20:40 13K 
[   ]catfish-lang-4.20.1-r0.apk2025-07-07 20:40 166K 
[   ]catfish-pyc-4.20.1-r0.apk2025-07-07 20:40 104K 
[   ]cava-0.10.6-r0.apk2025-09-26 04:01 47K 
[   ]cbqn-0.9.0-r0.apk2025-03-25 23:01 618K 
[   ]cc65-2.19-r0.apk2024-08-16 01:55 8.9M 
[   ]ccrtp-2.1.2-r0.apk2022-06-04 21:06 90K 
[   ]ccrtp-dev-2.1.2-r0.apk2022-06-04 21:06 53K 
[   ]ccrtp-doc-2.1.2-r0.apk2022-06-04 21:06 31K 
[   ]ccze-0.2.1-r1.apk2022-10-07 06:49 38K 
[   ]ccze-dev-0.2.1-r1.apk2022-10-07 06:49 3.1K 
[   ]ccze-doc-0.2.1-r1.apk2022-10-07 06:49 8.6K 
[   ]cdba-1.0-r2.apk2024-10-23 21:15 7.5K 
[   ]cdba-server-1.0-r2.apk2024-10-23 21:15 22K 
[   ]cddlib-0.94m-r2.apk2023-08-19 19:23 182K 
[   ]cddlib-dev-0.94m-r2.apk2023-08-19 19:23 14K 
[   ]cddlib-doc-0.94m-r2.apk2023-08-19 19:23 863K 
[   ]cddlib-static-0.94m-r2.apk2023-08-19 19:23 447K 
[   ]cddlib-tools-0.94m-r2.apk2023-08-19 19:23 36K 
[   ]cdist-7.0.0-r6.apk2024-08-07 01:50 511K 
[   ]cdist-pyc-7.0.0-r6.apk2024-08-07 01:50 127K 
[   ]cdogs-sdl-2.3.2-r0.apk2025-10-15 12:15 28M 
[   ]certbot-dns-hetzner-2.0.1-r1.apk2025-10-06 14:28 9.9K 
[   ]certbot-dns-hetzner-pyc-2.0.1-r1.apk2025-10-06 14:28 6.2K 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-27 23:08 9.0K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-27 23:08 4.0K 
[   ]certbot-dns-pdns-0.1.1-r1.apk2025-08-28 05:15 8.4K 
[   ]certbot-dns-pdns-pyc-0.1.1-r1.apk2025-08-28 05:15 3.6K 
[   ]certigo-1.16.0-r27.apk2025-10-15 12:15 3.7M 
[   ]certstrap-1.3.0-r28.apk2025-10-15 12:15 2.2M 
[   ]cgiirc-0.5.12-r1.apk2023-12-25 16:27 132K 
[   ]cgo-0.6.1-r1.apk2022-10-25 13:04 8.9K 
[   ]cgo-doc-0.6.1-r1.apk2022-10-25 13:04 3.9K 
[   ]charls-2.4.2-r0.apk2023-12-14 16:54 67K 
[   ]charls-dev-2.4.2-r0.apk2023-12-14 16:54 26K 
[   ]charta-0.1.3-r0.apk2025-10-15 12:15 1.1M 
[   ]chasquid-1.16.0-r2.apk2025-10-15 12:15 11M 
[   ]chasquid-doc-1.16.0-r2.apk2025-10-15 12:15 11K 
[   ]chasquid-openrc-1.16.0-r2.apk2025-10-15 12:15 1.7K 
[   ]checkpolicy-3.6-r0.apk2024-01-07 22:46 370K 
[   ]checkpolicy-doc-3.6-r0.apk2024-01-07 22:46 3.9K 
[   ]cherrytree-1.4.0-r0.apk2025-03-26 17:45 2.8M 
[   ]cherrytree-doc-1.4.0-r0.apk2025-03-26 17:45 1.8K 
[   ]cherrytree-lang-1.4.0-r0.apk2025-03-26 17:45 858K 
[   ]chimerautils-14.3.1-r0.apk2025-10-16 22:08 1.2M 
[   ]chimerautils-dbg-14.3.1-r0.apk2025-10-16 22:08 3.3M 
[   ]chocolate-doom-3.1.1-r0.apk2025-08-19 01:33 1.7M 
[   ]chocolate-doom-doc-3.1.1-r0.apk2025-08-19 01:33 232K 
[   ]cilium-cli-0.16.13-r9.apk2025-10-15 12:15 54M 
[   ]cilium-cli-bash-completion-0.16.13-r9.apk2025-10-15 12:15 4.8K 
[   ]cilium-cli-fish-completion-0.16.13-r9.apk2025-10-15 12:15 4.1K 
[   ]cilium-cli-zsh-completion-0.16.13-r9.apk2025-10-15 12:15 3.8K 
[   ]cimg-3.4.1-r0.apk2024-08-29 10:07 826K 
[   ]circuslinux-1.0.3-r1.apk2021-12-05 08:37 18K 
[   ]circuslinux-data-1.0.3-r1.apk2021-12-05 08:37 1.1M 
[   ]circuslinux-doc-1.0.3-r1.apk2021-12-05 08:37 18K 
[   ]ckb-next-0.6.2-r1.apk2025-09-26 04:01 1.3M 
[   ]ckb-next-daemon-0.6.2-r1.apk2025-09-26 04:01 77K 
[   ]ckb-next-daemon-openrc-0.6.2-r1.apk2025-09-26 04:01 1.6K 
[   ]ckb-next-dev-0.6.2-r1.apk2025-09-26 04:01 4.7K 
[   ]clang-next-22.0.0_pre20250926-r0.apk2025-09-28 04:37 9.2M 
[   ]clang-next-ccache-22.0.0_pre20250926-r0.apk2025-09-28 04:37 1.4K 
[   ]clang-next-dev-22.0.0_pre20250926-r0.apk2025-09-28 04:37 3.8M 
[   ]clang-next-headers-22.0.0_pre20250926-r0.apk2025-09-28 04:37 1.0M 
[   ]clang-next-libclang-22.0.0_pre20250926-r0.apk2025-09-28 04:37 9.1M 
[   ]clang-next-libs-22.0.0_pre20250926-r0.apk2025-09-28 04:37 16M 
[   ]clang-next-rtlib-22.0.0_pre20250926-r0.apk2025-09-28 04:37 13M 
[   ]clang-next-rtlib-atomic-22.0.0_pre20250926-r0.apk2025-09-28 04:37 9.5K 
[   ]clang-next-rtlib-scudo-22.0.0_pre20250926-r0.apk2025-09-28 04:37 37K 
[   ]clang-next-static-22.0.0_pre20250926-r0.apk2025-09-28 04:37 46M 
[   ]clatd-1.6-r0.apk2023-04-19 22:32 12K 
[   ]clementine-1.4.1_git20250503-r0.apk2025-06-13 01:58 6.3M 
[   ]clevis-21-r0.apk2025-01-20 05:38 51K 
[   ]clevis-bash-completion-21-r0.apk2025-01-20 05:38 1.8K 
[   ]clevis-dbg-21-r0.apk2025-01-20 05:38 60K 
[   ]clevis-doc-21-r0.apk2025-01-20 05:38 23K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-03-03 07:17 4.4K 
[   ]click-0.5.2-r4.apk2025-02-17 12:15 155K 
[   ]click-dev-0.5.2-r4.apk2025-02-17 12:15 8.9K 
[   ]click-doc-0.5.2-r4.apk2025-02-17 12:15 3.0K 
[   ]click-pyc-0.5.2-r4.apk2025-02-17 12:15 175K 
[   ]clinfo-3.0.23.01.25-r0.apk2023-02-10 12:02 41K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2023-02-10 12:02 6.2K 
[   ]cliphist-0.7.0-r0.apk2025-10-15 12:15 1.0M 
[   ]cliphist-fzf-0.7.0-r0.apk2025-10-15 12:15 1.5K 
[   ]clipit-1.4.5-r3.apk2024-09-30 14:20 65K 
[   ]clipit-doc-1.4.5-r3.apk2024-09-30 14:20 2.1K 
[   ]cliquer-1.23-r0.apk2025-08-12 04:16 6.8K 
[   ]cliquer-dev-1.23-r0.apk2025-08-12 04:16 7.2K 
[   ]cliquer-libs-1.23-r0.apk2025-08-12 04:16 23K 
[   ]cliquer-static-1.23-r0.apk2025-08-12 04:16 50K 
[   ]cliquer-tests-1.23-r0.apk2025-08-12 04:16 24K 
[   ]cln-1.3.7-r1.apk2025-05-25 08:06 475K 
[   ]cln-dev-1.3.7-r1.apk2025-05-25 08:06 2.3M 
[   ]cln-doc-1.3.7-r1.apk2025-05-25 08:06 77K 
[   ]cloud-hypervisor-45.0-r0.apk2025-05-16 13:21 2.5M 
[   ]cloudfoundry-cli-8.7.9-r13.apk2025-10-15 12:15 8.8M 
[   ]cluster-glue-1.0.12-r5.apk2023-05-01 21:45 240K 
[   ]cluster-glue-dev-1.0.12-r5.apk2023-05-01 21:45 1.9M 
[   ]cluster-glue-doc-1.0.12-r5.apk2023-05-01 21:45 33K 
[   ]cluster-glue-libs-1.0.12-r5.apk2023-05-01 21:45 101K 
[   ]cmusfm-0.5.0-r1.apk2025-08-27 04:14 16K 
[   ]cobang-1.7.3-r0.apk2025-09-26 04:01 38K 
[   ]cobang-lang-1.7.3-r0.apk2025-09-26 04:01 10K 
[   ]cocogitto-6.3.0-r0.apk2025-03-21 19:36 1.8M 
[   ]cocogitto-bash-completion-6.3.0-r0.apk2025-03-21 19:36 2.8K 
[   ]cocogitto-doc-6.3.0-r0.apk2025-03-21 19:36 38K 
[   ]cocogitto-fish-completion-6.3.0-r0.apk2025-03-21 19:36 3.1K 
[   ]cocogitto-zsh-completion-6.3.0-r0.apk2025-03-21 19:36 2.8K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 21:37 346K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 21:37 7.7K 
[   ]codec2-1.2.0-r0.apk2025-05-25 23:01 685K 
[   ]codec2-dev-1.2.0-r0.apk2025-05-25 23:01 15K 
[   ]colormake-0.9.20170221-r0.apk2021-07-27 08:10 4.0K 
[   ]colormake-doc-0.9.20170221-r0.apk2021-07-27 08:10 2.7K 
[   ]colorpicker-0_git20201128-r1.apk2022-10-25 13:04 3.6K 
[   ]comics-downloader-0.33.8-r14.apk2025-10-15 12:15 3.7M 
[   ]comics-downloader-gui-0.33.8-r14.apk2025-10-15 12:15 5.5M 
[   ]commit-lsp-0.1.0-r0.apk2025-05-10 01:44 2.1M 
[   ]commoncpp-7.0.1-r1.apk2022-10-07 06:49 285K 
[   ]commoncpp-dev-7.0.1-r1.apk2022-10-07 06:49 173K 
[   ]commoncpp-doc-7.0.1-r1.apk2022-10-07 06:49 15K 
[   ]commoncpp-tools-7.0.1-r1.apk2022-10-07 06:49 41K 
[   ]compiz-0.9.14.2-r12.apk2025-10-04 02:34 5.9M 
[   ]compiz-dev-0.9.14.2-r12.apk2025-10-04 02:34 117K 
[   ]compiz-lang-0.9.14.2-r12.apk2025-10-04 02:34 1.2M 
[   ]compiz-pyc-0.9.14.2-r12.apk2025-10-04 02:34 111K 
[   ]compiz-utils-0.9.14.2-r12.apk2025-10-04 02:34 3.1K 
[   ]comrak-0.44.0-r0.apk2025-10-16 16:15 935K 
[   ]comrak-doc-0.44.0-r0.apk2025-10-16 16:15 9.4K 
[   ]conntracct-0.2.7-r35.apk2025-10-15 12:15 4.9M 
[   ]conntracct-openrc-0.2.7-r35.apk2025-10-15 12:15 1.7K 
[   ]console_bridge-1.0.2-r0.apk2022-11-08 20:59 9.0K 
[   ]console_bridge-dev-1.0.2-r0.apk2022-11-08 20:59 4.5K 
[   ]consul-replicate-0.4.0-r35.apk2025-10-15 12:15 2.8M 
[   ]contractor-0.3.5-r0.apk2024-11-12 22:47 26K 
[   ]convert2json-2.3.2-r0.apk2025-08-10 20:15 1.1K 
[   ]convert2json-bson-2.3.2-r0.apk2025-08-10 20:15 1.0K 
[   ]convert2json-bson-jaq-2.3.2-r0.apk2025-08-10 20:15 259K 
[   ]convert2json-bson-json-2.3.2-r0.apk2025-08-10 20:15 248K 
[   ]convert2json-cbor-2.3.2-r0.apk2025-08-10 20:15 1.0K 
[   ]convert2json-cbor-jaq-2.3.2-r0.apk2025-08-10 20:15 225K 
[   ]convert2json-cbor-json-2.3.2-r0.apk2025-08-10 20:15 215K 
[   ]convert2json-csv-2.3.2-r0.apk2025-08-10 20:15 1.0K 
[   ]convert2json-csv-jaq-2.3.2-r0.apk2025-08-10 20:15 243K 
[   ]convert2json-csv-json-2.3.2-r0.apk2025-08-10 20:15 232K 
[   ]convert2json-doc-2.3.2-r0.apk2025-08-10 20:15 13K 
[   ]convert2json-ini-2.3.2-r0.apk2025-08-10 20:15 1.0K 
[   ]convert2json-ini-jaq-2.3.2-r0.apk2025-08-10 20:15 208K 
[   ]convert2json-ini-json-2.3.2-r0.apk2025-08-10 20:15 197K 
[   ]convert2json-jaq-2.3.2-r0.apk2025-08-10 20:15 1.1K 
[   ]convert2json-json-2.3.2-r0.apk2025-08-10 20:15 1.1K 
[   ]convert2json-messagepack-2.3.2-r0.apk2025-08-10 20:15 1.0K 
[   ]convert2json-messagepack-jaq-2.3.2-r0.apk2025-08-10 20:15 222K 
[   ]convert2json-messagepack-json-2.3.2-r0.apk2025-08-10 20:15 211K 
[   ]convert2json-plist-2.3.2-r0.apk2025-08-10 20:15 1.0K 
[   ]convert2json-plist-jaq-2.3.2-r0.apk2025-08-10 20:15 262K 
[   ]convert2json-plist-json-2.3.2-r0.apk2025-08-10 20:15 252K 
[   ]convert2json-rsv-2.3.2-r0.apk2025-08-10 20:15 1.0K 
[   ]convert2json-rsv-jaq-2.3.2-r0.apk2025-08-10 20:15 186K 
[   ]convert2json-rsv-json-2.3.2-r0.apk2025-08-10 20:15 173K 
[   ]convert2json-toml-2.3.2-r0.apk2025-08-10 20:15 1.0K 
[   ]convert2json-toml-jaq-2.3.2-r0.apk2025-08-10 20:15 261K 
[   ]convert2json-toml-json-2.3.2-r0.apk2025-08-10 20:15 249K 
[   ]convert2json-xml-2.3.2-r0.apk2025-08-10 20:15 1.0K 
[   ]convert2json-xml-jaq-2.3.2-r0.apk2025-08-10 20:15 220K 
[   ]convert2json-xml-json-2.3.2-r0.apk2025-08-10 20:15 209K 
[   ]convert2json-yaml-2.3.2-r0.apk2025-08-10 20:15 1.0K 
[   ]convert2json-yaml-jaq-2.3.2-r0.apk2025-08-10 20:15 285K 
[   ]convert2json-yaml-json-2.3.2-r0.apk2025-08-10 20:15 274K 
[   ]copyq-10.0.0-r0.apk2025-06-25 13:57 2.6M 
[   ]copyq-bash-completion-10.0.0-r0.apk2025-06-25 13:57 2.0K 
[   ]copyq-doc-10.0.0-r0.apk2025-06-25 13:57 3.2K 
[   ]corosync-3.1.9-r0.apk2025-05-29 03:17 268K 
[   ]corosync-dev-3.1.9-r0.apk2025-05-29 03:17 814K 
[   ]corosync-doc-3.1.9-r0.apk2025-05-29 03:17 190K 
[   ]corosync-openrc-3.1.9-r0.apk2025-05-29 03:17 1.5K 
[   ]cortex-tenant-1.15.7-r1.apk2025-10-15 12:15 4.1M 
[   ]cortex-tenant-openrc-1.15.7-r1.apk2025-10-15 12:15 1.8K 
[   ]cosmic-app-library-1.0.0_beta2-r0.apk2025-10-18 06:50 7.5M 
[   ]cosmic-applets-1.0.0_beta2-r0.apk2025-10-18 06:50 10M 
[   ]cosmic-bg-1.0.0_beta2-r0.apk2025-10-18 06:50 1.7M 
[   ]cosmic-comp-1.0.0_beta2-r0.apk2025-10-18 06:50 6.8M 
[   ]cosmic-edit-1.0.0_beta2-r0.apk2025-10-18 06:50 11M 
[   ]cosmic-files-1.0.0_beta2-r0.apk2025-10-18 06:50 20M 
[   ]cosmic-greeter-1.0.0_beta2-r1.apk2025-10-18 06:50 11M 
[   ]cosmic-greeter-openrc-1.0.0_beta2-r1.apk2025-10-18 06:50 1.7K 
[   ]cosmic-icons-1.0.0_beta2-r0.apk2025-10-18 06:50 241K 
[   ]cosmic-idle-1.0.0_beta2-r0.apk2025-10-18 06:50 1.1M 
[   ]cosmic-initial-setup-1.0.0_beta2-r0.apk2025-10-18 06:50 12M 
[   ]cosmic-launcher-1.0.0_beta2-r0.apk2025-10-18 06:50 5.7M 
[   ]cosmic-notifications-1.0.0_beta2-r0.apk2025-10-18 06:50 5.9M 
[   ]cosmic-osd-1.0.0_beta2-r0.apk2025-10-18 06:50 5.7M 
[   ]cosmic-panel-1.0.0_beta2-r0.apk2025-10-18 06:50 3.7M 
[   ]cosmic-player-1.0.0_beta2-r0.apk2025-10-18 06:50 7.0M 
[   ]cosmic-randr-1.0.0_beta2-r0.apk2025-10-18 06:50 543K 
[   ]cosmic-screenshot-1.0.0_beta2-r0.apk2025-10-18 06:50 918K 
[   ]cosmic-session-1.0.0_beta2-r0.apk2025-10-18 06:50 1.2M 
[   ]cosmic-settings-1.0.0_beta2-r0.apk2025-10-18 06:50 12M 
[   ]cosmic-settings-daemon-1.0.0_beta2-r0.apk2025-10-18 06:50 1.4M 
[   ]cosmic-store-1.0.0_beta2-r0.apk2025-10-18 06:50 8.9M 
[   ]cosmic-term-1.0.0_beta2-r0.apk2025-10-18 06:50 9.5M 
[   ]cosmic-workspaces-1.0.0_beta2-r0.apk2025-10-18 06:50 6.8M 
[   ]cowsay-3.04-r2.apk2022-10-25 13:04 18K 
[   ]cowsay-doc-3.04-r2.apk2022-10-25 13:04 3.7K 
[   ]coxeter-3.0-r1.apk2023-08-19 19:23 48K 
[   ]coxeter-dev-3.0-r1.apk2023-08-19 19:23 56K 
[   ]coxeter-libs-3.0-r1.apk2023-08-19 19:23 304K 
[   ]cpiped-0.1.0-r0.apk2021-07-27 08:10 6.3K 
[   ]cpp-httplib-0.26.0-r0.apk2025-08-29 21:55 82K 
[   ]cpp-httplib-doc-0.26.0-r0.apk2025-08-29 21:55 13K 
[   ]cpplint-2.0.2-r0.apk2025-04-15 14:07 80K 
[   ]cpplint-pyc-2.0.2-r0.apk2025-04-15 14:07 99K 
[   ]cproc-0_git20240427-r1.apk2024-11-03 22:23 54K 
[   ]cproc-dbg-0_git20240427-r1.apk2024-11-03 22:23 119K 
[   ]cproc-doc-0_git20240427-r1.apk2024-11-03 22:23 2.6K 
[   ]cpufetch-1.06-r0.apk2024-08-29 10:07 28K 
[   ]cpufetch-doc-1.06-r0.apk2024-08-29 10:07 2.8K 
[   ]crazydiskinfo-1.1.0-r1.apk2022-10-25 13:04 34K 
[   ]createrepo_c-1.1.4-r0.apk2024-09-27 02:02 45K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-09-27 02:02 2.6K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-09-27 02:02 31K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-09-27 02:02 8.4K 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-09-27 02:02 88K 
[   ]crispy-doom-7.1-r0.apk2025-09-28 04:37 1.9M 
[   ]crispy-doom-doc-7.1-r0.apk2025-09-28 04:37 107K 
[   ]crossplane-0.5.8-r3.apk2024-08-31 15:07 30K 
[   ]crossplane-pyc-0.5.8-r3.apk2024-08-31 15:07 39K 
[   ]crow-translate-4.0.2-r0.apk2025-10-15 22:29 11M 
[   ]crow-translate-lang-4.0.2-r0.apk2025-10-15 22:29 554K 
[   ]crowdsec-1.7.1-r1.apk2025-10-19 18:04 38M 
[   ]crowdsec-email-plugin-1.7.1-r1.apk2025-10-19 18:04 6.1M 
[   ]crowdsec-file-plugin-1.7.1-r1.apk2025-10-19 18:04 6.1M 
[   ]crowdsec-http-plugin-1.7.1-r1.apk2025-10-19 18:04 6.1M 
[   ]crowdsec-openrc-1.7.1-r1.apk2025-10-19 18:04 1.5K 
[   ]crowdsec-sentinel-plugin-1.7.1-r1.apk2025-10-19 18:04 6.1M 
[   ]crowdsec-slack-plugin-1.7.1-r1.apk2025-10-19 18:04 6.1M 
[   ]crowdsec-splunk-plugin-1.7.1-r1.apk2025-10-19 18:04 6.1M 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 16:00 1.0M 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 16:00 13K 
[   ]cscope-15.9-r1.apk2022-10-14 19:59 150K 
[   ]cscope-doc-15.9-r1.apk2022-10-14 19:59 7.2K 
[   ]csfml-2.5.2-r0.apk2023-07-04 11:04 97K 
[   ]csfml-dev-2.5.2-r0.apk2023-07-04 11:04 77K 
[   ]csfml-doc-2.5.2-r0.apk2023-07-04 11:04 204K 
[   ]csmith-2.3.0-r2.apk2024-07-01 14:13 312K 
[   ]csmith-doc-2.3.0-r2.apk2024-07-01 14:13 2.8K 
[   ]csol-1.6.0-r0.apk2023-03-10 21:03 33K 
[   ]csol-doc-1.6.0-r0.apk2023-03-10 21:03 3.6K 
[   ]ctorrent-dnh-3.3.2-r2.apk2022-10-25 13:04 91K 
[   ]cups-pdf-3.0.2-r0.apk2025-07-04 21:12 21K 
[   ]curlftpfs-0.9.2-r3.apk2022-10-25 13:04 21K 
[   ]curlftpfs-doc-0.9.2-r3.apk2022-10-25 13:04 5.9K 
[   ]curtail-1.13.0-r0.apk2025-07-05 23:30 30K 
[   ]curtail-lang-1.13.0-r0.apk2025-07-05 23:30 77K 
[   ]cutechess-1.3.1-r0.apk2023-09-24 18:53 1.1M 
[   ]cutechess-cli-1.3.1-r0.apk2023-09-24 18:53 343K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2023-09-24 18:53 6.3K 
[   ]cutechess-doc-1.3.1-r0.apk2023-09-24 18:53 3.3K 
[   ]cvise-2.11.0-r0.apk2025-03-10 14:12 4.8M 
[   ]cvise-pyc-2.11.0-r0.apk2025-03-10 14:12 59K 
[   ]cvs-fast-export-1.65-r0.apk2024-03-03 07:17 49K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-03-03 07:17 17K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-03-03 07:17 8.4K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2023-05-21 12:09 6.3K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2023-05-21 12:09 2.0K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2023-05-21 12:09 9.7K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2022-02-07 12:49 219K 
[   ]daemontools-0.76-r3.apk2024-09-26 11:04 65K 
[   ]daemontools-openrc-0.76-r3.apk2024-09-26 11:04 1.7K 
[   ]daktilo-0.6.0-r0.apk2024-04-30 01:05 1.7M 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-04-30 01:05 1.9K 
[   ]daktilo-doc-0.6.0-r0.apk2024-04-30 01:05 8.4K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-04-30 01:05 1.7K 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-04-30 01:05 2.0K 
[   ]darkradiant-3.9.0-r0.apk2025-09-28 13:52 9.8M 
[   ]darkradiant-doc-3.9.0-r0.apk2025-09-28 13:52 2.2M 
[   ]darkradiant-lang-3.9.0-r0.apk2025-09-28 13:52 37K 
[   ]darkreader-4.9.110-r0.apk2025-08-21 09:14 767K 
[   ]dart-stage0-3.9.0_alpha27_p0-r0.apk2025-10-06 05:28 184M 
[   ]darts-clone-0.32h-r0.apk2025-07-06 07:11 40K 
[   ]darts-clone-dev-0.32h-r0.apk2025-07-06 07:11 13K 
[   ]dasht-2.4.0-r0.apk2023-12-24 03:13 14K 
[   ]dasht-doc-2.4.0-r0.apk2023-12-24 03:13 11K 
[   ]dasht-zsh-completion-2.4.0-r0.apk2023-12-24 03:13 1.8K 
[   ]dbmate-2.26.0-r6.apk2025-10-15 12:15 11M 
[   ]dbmate-doc-2.26.0-r6.apk2025-10-15 12:15 2.0K 
[   ]dbus-broker-37-r0.apk2025-06-17 15:57 86K 
[   ]dbus-broker-doc-37-r0.apk2025-06-17 15:57 5.6K 
[   ]dcmtk-3.6.9-r0.apk2025-01-20 05:38 1.2M 
[   ]dcmtk-dev-3.6.9-r0.apk2025-01-20 05:38 1.6M 
[   ]dcmtk-doc-3.6.9-r0.apk2025-01-20 05:38 257K 
[   ]dcmtk-openrc-3.6.9-r0.apk2025-01-20 05:38 1.4K 
[   ]dcnnt-0.10.0-r1.apk2024-04-30 01:05 28K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-04-30 01:05 6.3K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-04-30 01:05 61K 
[   ]ddcci-driver-linux-src-0.4.5-r2.apk2025-03-19 18:47 19K 
[   ]ddgr-2.2-r0.apk2024-01-17 16:50 20K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-01-17 16:50 2.0K 
[   ]ddgr-doc-2.2-r0.apk2024-01-17 16:50 11K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-01-17 16:50 2.0K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-01-17 16:50 2.4K 
[   ]ddserver-0_git20200930-r1.apk2022-10-25 13:04 12K 
[   ]deadbeef-soxr-20180801-r0.apk2021-07-27 08:10 5.2K 
[   ]debconf-1.5.82-r0.apk2023-03-16 17:36 69K 
[   ]debconf-bash-completion-1.5.82-r0.apk2023-03-16 17:36 1.6K 
[   ]debconf-doc-1.5.82-r0.apk2023-03-16 17:36 27K 
[   ]debconf-lang-1.5.82-r0.apk2023-03-16 17:36 132K 
[   ]debconf-utils-1.5.82-r0.apk2023-03-16 17:36 6.4K 
[   ]deblob-0.12-r0.apk2025-10-15 22:29 130K 
[   ]deblob-doc-0.12-r0.apk2025-10-15 22:29 3.5K 
[   ]decoder-0.7.0-r0.apk2025-04-12 01:36 1.9M 
[   ]decoder-lang-0.7.0-r0.apk2025-04-12 01:36 59K 
[   ]dehydrated-0.7.1-r0.apk2023-01-20 22:16 26K 
[   ]desed-1.2.1-r1.apk2024-07-14 05:43 397K 
[   ]desed-doc-1.2.1-r1.apk2024-07-14 05:43 2.6K 
[   ]desync-0.9.6-r9.apk2025-10-15 12:15 7.5M 
[   ]detox-2.0.0-r0.apk2024-04-01 20:11 113K 
[   ]detox-doc-2.0.0-r0.apk2024-04-01 20:11 20K 
[   ]deviced-0_git20250427-r0.apk2025-07-05 23:30 120K 
[   ]deviced-dev-0_git20250427-r0.apk2025-07-05 23:30 26K 
[   ]deviced-openrc-0_git20250427-r0.apk2025-07-05 23:30 1.4K 
[   ]devil-1.8.0-r0.apk2023-09-12 10:25 257K 
[   ]devil-dev-1.8.0-r0.apk2023-09-12 10:25 13K 
[   ]dewduct-0.2.3-r0.apk2024-06-14 20:19 1.1M 
[   ]dfl-applications-0.3.0-r0.apk2025-08-21 08:37 66K 
[   ]dfl-applications-dev-0.3.0-r0.apk2025-08-21 08:37 3.7K 
[   ]dfl-ipc-0.3.0-r0.apk2025-08-21 08:37 47K 
[   ]dfl-ipc-dev-0.3.0-r0.apk2025-08-21 08:37 4.5K 
[   ]dfl-login1-0.3.0-r0.apk2025-08-21 08:37 35K 
[   ]dfl-login1-dev-0.3.0-r0.apk2025-08-21 08:37 3.4K 
[   ]dfl-sni-0.3.0-r0.apk2025-08-21 08:37 60K 
[   ]dfl-sni-dev-0.3.0-r0.apk2025-08-21 08:37 4.7K 
[   ]dfu-programmer-1.1.0-r0.apk2023-07-10 20:22 35K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2023-07-10 20:22 2.5K 
[   ]dfu-programmer-doc-1.1.0-r0.apk2023-07-10 20:22 5.5K 
[   ]diceware-1.0.1-r0.apk2025-01-13 22:52 334K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 22:52 18K 
[   ]disfetch-3.7-r0.apk2023-06-08 22:40 8.0K 
[   ]dislocker-0.7.3-r6.apk2025-07-20 01:38 11K 
[   ]dislocker-doc-0.7.3-r6.apk2025-07-20 01:38 5.8K 
[   ]dislocker-libs-0.7.3-r6.apk2025-07-20 01:38 46K 
[   ]dive-0.13.0-r6.apk2025-10-15 12:15 3.8M 
[   ]dlib-19.24.4-r0.apk2024-04-02 20:19 793K 
[   ]dlib-dev-19.24.4-r0.apk2024-04-02 20:19 2.4M 
[   ]dmarc-cat-0.15.0-r9.apk2025-10-15 12:16 2.7M 
[   ]dmarc-metrics-exporter-1.2.0-r0.apk2024-11-29 22:38 25K 
[   ]dmarc-metrics-exporter-openrc-1.2.0-r0.apk2024-11-29 22:38 1.6K 
[   ]dmarc-metrics-exporter-pyc-1.2.0-r0.apk2024-11-29 22:38 46K 
[   ]dmenu-wl-0.1-r0.apk2025-07-02 17:21 17K 
[   ]dmenu-wl-doc-0.1-r0.apk2025-07-02 17:21 3.8K 
[   ]dnote-0.15.3-r0.apk2025-10-16 18:43 3.8M 
[   ]dnote-bash-completion-0.15.3-r0.apk2025-10-16 18:43 1.8K 
[   ]dnote-doc-0.15.3-r0.apk2025-10-16 18:43 14K 
[   ]dnote-zsh-completion-0.15.3-r0.apk2025-10-16 18:43 1.8K 
[   ]dnscontrol-4.26.0-r0.apk2025-10-15 12:16 15M 
[   ]dnscontrol-doc-4.26.0-r0.apk2025-10-15 12:16 2.0K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2023-12-19 21:12 31K 
[   ]dnsenum-1.3.2-r0.apk2024-04-30 01:05 21K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-04-30 01:05 5.0K 
[   ]dnsperf-2.14.0-r0.apk2024-01-19 09:41 72K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-01-19 09:41 35K 
[   ]dnsq-0.19.9-r1.apk2025-10-15 12:16 3.8M 
[   ]dnssec-tools-2.2.3-r13.apk2025-07-01 13:33 748K 
[   ]dnssec-tools-dev-2.2.3-r13.apk2025-07-01 13:33 320K 
[   ]dnssec-tools-doc-2.2.3-r13.apk2025-07-01 13:33 317K 
[   ]doasedit-1.0.8-r0.apk2025-08-06 12:14 3.0K 
[   ]docker-auth-1.14.0-r1.apk2025-10-15 12:16 9.7M 
[   ]docker-auth-doc-1.14.0-r1.apk2025-10-15 12:16 10K 
[   ]docker-auth-openrc-1.14.0-r1.apk2025-10-15 12:16 1.8K 
[   ]docker-volume-local-persist-1.3.0-r37.apk2025-10-15 12:16 2.5M 
[   ]docker-volume-local-persist-openrc-1.3.0-r37.apk2025-10-15 12:16 1.5K 
[   ]dockerize-0.9.6-r1.apk2025-10-15 12:16 3.3M 
[   ]dolt-1.58.5-r2.apk2025-10-15 12:16 40M 
[   ]dooit-3.2.2-r0.apk2025-05-16 13:21 45K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 20:54 12K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 20:54 23K 
[   ]dooit-pyc-3.2.2-r0.apk2025-05-16 13:21 100K 
[   ]downloader-cli-0.3.4-r2.apk2025-05-15 20:19 1.7K 
[   ]draco-1.5.7-r2.apk2025-02-17 12:15 836K 
[   ]draco-dev-1.5.7-r2.apk2025-02-17 12:15 204K 
[   ]draco-static-1.5.7-r2.apk2025-02-17 12:15 2.9M 
[   ]draco-tools-1.5.7-r2.apk2025-02-17 12:15 1.2M 
[   ]draw-0.1.1-r17.apk2025-10-15 12:16 1.0M 
[   ]drogon-1.9.4-r2.apk2025-05-22 08:35 1.4M 
[   ]drogon-dev-1.9.4-r2.apk2025-05-22 08:35 121K 
[   ]drogon-doc-1.9.4-r2.apk2025-05-22 08:35 2.0K 
[   ]droidcam-2.1.3-r3.apk2025-09-28 04:37 19K 
[   ]droidcam-gui-2.1.3-r3.apk2025-09-28 04:37 28K 
[   ]drone-cli-1.8.0-r14.apk2025-10-15 12:16 5.7M 
[   ]dropwatch-1.5.5-r1.apk2025-07-28 15:18 15K 
[   ]dropwatch-doc-1.5.5-r1.apk2025-07-28 15:18 3.4K 
[   ]drumgizmo-0.9.20-r1.apk2023-06-22 07:05 404K 
[   ]drupal7-7.103-r0.apk2024-12-04 17:28 3.3M 
[   ]drupal7-doc-7.103-r0.apk2024-12-04 17:28 57K 
[   ]dsp-2.0-r2.apk2025-09-28 04:37 159K 
[   ]dsp-doc-2.0-r2.apk2025-09-28 04:37 9.9K 
[   ]dstask-0.27-r3.apk2025-10-15 12:16 1.5M 
[   ]dstask-bash-completion-0.27-r3.apk2025-10-15 12:16 1.8K 
[   ]dstask-fish-completion-0.27-r3.apk2025-10-15 12:16 1.4K 
[   ]dstask-import-0.27-r3.apk2025-10-15 12:16 3.4M 
[   ]dstask-zsh-completion-0.27-r3.apk2025-10-15 12:16 1.4K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-08 03:30 46K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-08 03:30 2.6K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-08 03:30 6.6K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-08 03:30 2.0K 
[   ]duc-1.4.5-r0.apk2023-06-27 16:30 89K 
[   ]duc-doc-1.4.5-r0.apk2023-06-27 16:30 8.8K 
[   ]duf-0.9.1-r1.apk2025-10-15 12:16 1.2M 
[   ]duf-doc-0.9.1-r1.apk2025-10-15 12:16 4.5K 
[   ]dufs-0.45.0-r0.apk2025-09-26 04:01 1.5M 
[   ]dufs-bash-completion-0.45.0-r0.apk2025-09-26 04:01 2.1K 
[   ]dufs-doc-0.45.0-r0.apk2025-09-26 04:01 10K 
[   ]dufs-fish-completion-0.45.0-r0.apk2025-09-26 04:01 2.2K 
[   ]dufs-zsh-completion-0.45.0-r0.apk2025-09-26 04:01 2.5K 
[   ]dulcepan-1.0.2-r0.apk2024-09-16 11:50 21K 
[   ]dum-0.1.20-r1.apk2025-08-29 11:40 352K 
[   ]dustracing2d-2.1.1-r1.apk2022-10-25 13:04 5.1M 
[   ]dvdbackup-0.4.2-r1.apk2022-10-14 19:59 15K 
[   ]dvdbackup-doc-0.4.2-r1.apk2022-10-14 19:59 7.3K 
[   ]dvdbackup-lang-0.4.2-r1.apk2022-10-14 19:59 1.2K 
[   ]dwl-0.7-r0.apk2024-10-05 07:31 27K 
[   ]dwl-doc-0.7-r0.apk2024-10-05 07:31 2.9K 
[   ]e16-1.0.30-r0.apk2024-11-05 13:42 788K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 13:42 27K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 13:42 379K 
[   ]eatmemory-0.1.6-r2.apk2022-10-25 13:04 3.7K 
[   ]eboard-1.1.3-r1.apk2023-07-30 12:12 1.4M 
[   ]eboard-doc-1.1.3-r1.apk2023-07-30 12:12 4.4K 
[   ]ecasound-2.9.3-r4.apk2025-02-25 05:12 692K 
[   ]ecasound-dev-2.9.3-r4.apk2025-02-25 05:12 2.1M 
[   ]ecasound-doc-2.9.3-r4.apk2025-02-25 05:12 38K 
[   ]eccodes-2.44.0-r0.apk2025-10-15 12:16 11M 
[   ]eclib-20250627-r0.apk2025-06-28 06:55 316K 
[   ]eclib-dev-20250627-r0.apk2025-06-28 06:55 96K 
[   ]eclib-doc-20250627-r0.apk2025-06-28 06:55 28K 
[   ]eclib-libs-20250627-r0.apk2025-06-28 06:55 1.1M 
[   ]eclib-static-20250627-r0.apk2025-06-28 06:55 20M 
[   ]ecos-2.0.10-r0.apk2022-05-23 23:27 36K 
[   ]ecos-dev-2.0.10-r0.apk2022-05-23 23:27 28K 
[   ]edit-1.2.1-r0.apk2025-10-16 13:21 254K 
[   ]edit-doc-1.2.1-r0.apk2025-10-16 13:21 2.0K 
[   ]edward-1.1.0-r0.apk2024-09-04 18:45 1.9M 
[   ]edward-doc-1.1.0-r0.apk2024-09-04 18:45 5.0K 
[   ]efl-1.28.1-r2.apk2025-03-23 15:08 34M 
[   ]efl-dev-1.28.1-r2.apk2025-03-23 15:08 1.8M 
[   ]efl-gdb-1.28.1-r2.apk2025-03-23 15:08 1.4K 
[   ]eiwd-3.10-r0.apk2025-10-07 11:29 846K 
[   ]eiwd-doc-3.10-r0.apk2025-10-07 11:29 20K 
[   ]eiwd-openrc-3.10-r0.apk2025-10-07 11:29 1.6K 
[   ]elementary-calculator-8.0.1-r0.apk2025-09-02 02:30 70K 
[   ]elementary-calculator-lang-8.0.1-r0.apk2025-09-02 02:30 59K 
[   ]elementary-camera-8.0.2-r0.apk2025-09-02 02:26 85K 
[   ]elementary-camera-lang-8.0.2-r0.apk2025-09-02 02:26 35K 
[   ]elementary-dock-8.0.2-r0.apk2025-05-24 23:20 88K 
[   ]elementary-dock-lang-8.0.2-r0.apk2025-05-24 23:20 27K 
[   ]elementary-feedback-8.0.1-r0.apk2025-05-15 20:19 45K 
[   ]elementary-feedback-lang-8.0.1-r0.apk2025-05-15 20:19 46K 
[   ]elementary-icon-theme-8.1.0-r0.apk2025-05-15 20:19 5.0M 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 22:07 72K 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 22:07 47K 
[   ]elementary-settings-daemon-8.3.0-r0.apk2025-05-26 19:38 81K 
[   ]elementary-settings-daemon-lang-8.3.0-r0.apk2025-05-26 19:38 74K 
[   ]elementary-settings-daemon-openrc-8.3.0-r0.apk2025-05-26 19:38 1.5K 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 23:20 83K 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 20:52 1.5M 
[   ]elementary-videos-8.0.2-r0.apk2025-09-02 02:29 113K 
[   ]elementary-videos-lang-8.0.2-r0.apk2025-09-02 02:29 83K 
[   ]elf_diff-0.7.1-r3.apk2024-08-07 01:50 108K 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-08-07 01:50 108K 
[   ]elfio-3.12-r0.apk2023-08-30 10:51 1.2K 
[   ]elfio-dev-3.12-r0.apk2023-08-30 10:51 55K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-04-02 20:19 23K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-04-02 20:19 43K 
[   ]emacs-avy-embark-collect-1.1-r0.apk2025-04-23 05:44 3.6K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-04-02 20:19 55K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-08-07 01:50 14K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-04-30 01:05 137K 
[   ]emacs-derl-0_git20231004-r1.apk2025-07-29 04:40 23K 
[   ]emacs-elfeed-3.4.2-r0.apk2025-04-23 05:12 90K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-08-07 01:50 22K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-08-07 01:50 5.8K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-08-07 01:50 5.6K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-08-07 01:50 17K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-08-07 01:50 4.0K 
[   ]emacs-embark-1.1-r0.apk2025-04-23 05:44 111K 
[   ]emacs-embark-consult-1.1-r0.apk2025-04-23 05:44 10K 
[   ]emacs-ement-0.16-r0.apk2025-04-23 05:12 290K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-08-07 01:50 37K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-05-07 08:33 14K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-08-07 01:50 62K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-04-02 20:19 15K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-04-02 20:19 815K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-04-02 20:19 9.5K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-04-02 20:19 46K 
[   ]emacs-llama-1.0.1-r0.apk2025-09-26 04:01 12K 
[   ]emacs-lsp-booster-0.2.1-r0.apk2025-04-15 14:08 399K 
[   ]emacs-lsp-booster-doc-0.2.1-r0.apk2025-04-15 14:08 2.0K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-04-02 20:19 6.4K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-04-02 20:19 29K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-08-07 01:50 17K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-04-02 20:19 19K 
[   ]emacs-taxy-0.10.2-r0.apk2025-04-23 05:44 11K 
[   ]emacs-taxy-magit-section-0.14.3-r0.apk2025-04-23 05:44 17K 
[   ]emacs-total-recall-0_git20250426-r0.apk2025-04-30 08:18 17K 
[   ]emacs-total-recall-examples-0_git20250426-r0.apk2025-04-30 08:18 14K 
[   ]empede-0.2.3-r0.apk2024-01-07 01:56 1.6M 
[   ]empede-doc-0.2.3-r0.apk2024-01-07 01:56 2.0K 
[   ]empede-openrc-0.2.3-r0.apk2024-01-07 01:56 1.7K 
[   ]emulationstation-2.11.2-r1.apk2024-05-21 16:15 1.2M 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-05-21 16:15 3.3M 
[   ]endeavour-43.0-r2.apk2024-12-09 00:30 189K 
[   ]endeavour-dev-43.0-r2.apk2024-12-09 00:30 45K 
[   ]endeavour-doc-43.0-r2.apk2024-12-09 00:30 68K 
[   ]endeavour-lang-43.0-r2.apk2024-12-09 00:30 203K 
[   ]endlessh-1.1-r1.apk2025-05-25 08:06 8.6K 
[   ]endlessh-doc-1.1-r1.apk2025-05-25 08:06 2.4K 
[   ]enjoy-0.3-r1.apk2023-02-03 15:25 10K 
[   ]enlighten-0.9.2-r1.apk2022-10-25 13:04 6.0K 
[   ]enlighten-doc-0.9.2-r1.apk2022-10-25 13:04 3.3K 
[   ]envconsul-0.13.3-r7.apk2025-10-15 12:16 4.8M 
[   ]envsubst-0.1-r1.apk2022-07-04 14:23 4.1K 
[   ]epic6-0_git20250821-r0.apk2025-09-26 04:01 379K 
[   ]epic6-doc-0_git20250821-r0.apk2025-09-26 04:01 17K 
[   ]epic6-script-0_git20250821-r0.apk2025-09-26 04:01 149K 
[   ]epoch-1.3.0-r2.apk2024-09-29 15:31 60K 
[   ]epr-2.4.15-r1.apk2024-04-30 01:05 15K 
[   ]epr-pyc-2.4.15-r1.apk2024-04-30 01:05 24K 
[   ]ergo-ldap-0.0.1-r21.apk2025-10-15 12:16 2.2M 
[   ]ergo-ldap-doc-0.0.1-r21.apk2025-10-15 12:16 2.0K 
[   ]errands-46.2.8-r0.apk2025-05-22 16:45 84K 
[   ]errands-lang-46.2.8-r0.apk2025-05-22 16:45 71K 
[   ]espeakup-0.90-r2.apk2024-03-13 23:01 12K 
[   ]espeakup-openrc-0.90-r2.apk2024-03-13 23:01 1.6K 
[   ]esptool-4.8.1-r0.apk2024-10-05 12:52 424K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-05 12:52 549K 
[   ]ettercap-0.8.3.1-r3.apk2024-07-13 03:59 563K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-07-13 03:59 45K 
[   ]evolution-on-3.24.4-r1.apk2025-09-26 04:01 9.9K 
[   ]exabgp-4.2.24-r1.apk2025-09-26 04:01 385K 
[   ]exabgp-doc-4.2.24-r1.apk2025-09-26 04:01 7.8K 
[   ]exabgp-openrc-4.2.24-r1.apk2025-09-26 04:01 2.0K 
[   ]exabgp-pyc-4.2.24-r1.apk2025-09-26 04:01 778K 
[   ]exercism-3.2.0-r17.apk2025-10-15 12:16 4.0M 
[   ]exercism-bash-completion-3.2.0-r17.apk2025-10-15 12:16 1.7K 
[   ]exercism-fish-completion-3.2.0-r17.apk2025-10-15 12:16 2.1K 
[   ]exercism-zsh-completion-3.2.0-r17.apk2025-10-15 12:16 1.9K 
[   ]extrace-0.9-r0.apk2024-04-30 01:05 9.6K 
[   ]extrace-doc-0.9-r0.apk2024-04-30 01:05 3.3K 
[   ]extremetuxracer-0.8.3-r0.apk2023-07-25 13:16 40M 
[   ]extremetuxracer-doc-0.8.3-r0.apk2023-07-25 13:16 6.4K 
[   ]extundelete-0.2.4-r1.apk2022-10-14 19:59 39K 
[   ]fabric-3.2.2-r1.apk2024-04-30 01:05 54K 
[   ]fabric-pyc-3.2.2-r1.apk2024-04-30 01:05 60K 
[   ]fakeroot-tcp-1.32.1-r1.apk2023-08-19 19:23 32K 
[   ]fast-double-parser-0.8.1-r0.apk2025-10-20 11:11 25K 
[   ]fastd-23-r0.apk2025-01-27 21:46 72K 
[   ]fastd-doc-23-r0.apk2025-01-27 21:46 3.0K 
[   ]fastd-openrc-23-r0.apk2025-01-27 21:46 1.4K 
[   ]fatback-1.3-r2.apk2022-10-25 13:04 24K 
[   ]fatback-doc-1.3-r2.apk2022-10-25 13:04 16K 
[   ]fathom-1.3.1-r17.apk2025-10-15 12:16 4.7M 
[   ]fatrace-0.18.0-r0.apk2025-07-27 19:39 9.7K 
[   ]fatrace-doc-0.18.0-r0.apk2025-07-27 19:39 3.1K 
[   ]fatresize-1.1.0-r1.apk2022-10-25 13:04 7.4K 
[   ]fatresize-doc-1.1.0-r1.apk2022-10-25 13:04 15K 
[   ]faultstat-0.01.11-r0.apk2024-01-13 12:45 12K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-01-13 12:45 2.0K 
[   ]faultstat-doc-0.01.11-r0.apk2024-01-13 12:45 2.8K 
[   ]faust-2.79.3-r0.apk2025-06-08 21:31 7.9M 
[   ]faust-dev-2.79.3-r0.apk2025-06-08 21:31 1.4M 
[   ]faust-doc-2.79.3-r0.apk2025-06-08 21:31 17M 
[   ]faust-static-2.79.3-r0.apk2025-06-08 21:31 1.0M 
[   ]faust-tools-2.79.3-r0.apk2025-06-08 21:31 119K 
[   ]faust-vim-2.79.3-r0.apk2025-06-08 21:31 2.3K 
[   ]fava-1.28-r0.apk2024-07-23 01:14 1.1M 
[   ]fava-pyc-1.28-r0.apk2024-07-23 01:14 164K 
[   ]fbcur-1.0.1-r1.apk2024-10-23 13:31 4.1K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-23 13:31 1.9K 
[   ]fceux-2.6.6-r4.apk2025-09-28 04:37 2.9M 
[   ]fceux-doc-2.6.6-r4.apk2025-09-28 04:37 104K 
[   ]fdm-materials-5.2.2-r1.apk2024-06-17 08:19 59K 
[   ]featherpad-1.5.1-r0.apk2024-05-10 00:50 680K 
[   ]featherpad-lang-1.5.1-r0.apk2024-05-10 00:50 462K 
[   ]femto-2.24.1-r0.apk2025-09-26 04:01 70K 
[   ]femto-doc-2.24.1-r0.apk2025-09-26 04:01 47K 
[   ]fff-2.2-r0.apk2022-06-15 20:50 11K 
[   ]fff-doc-2.2-r0.apk2022-06-15 20:50 9.0K 
[   ]fflas-ffpack-2.5.0-r3.apk2023-08-19 19:23 345K 
[   ]ffmpeg4-4.4.5-r4.apk2025-10-19 15:26 32K 
[   ]ffmpeg4-dev-4.4.5-r4.apk2025-10-19 15:26 281K 
[   ]ffmpeg4-libavcodec-4.4.5-r4.apk2025-10-19 15:26 6.8M 
[   ]ffmpeg4-libavdevice-4.4.5-r4.apk2025-10-19 15:26 47K 
[   ]ffmpeg4-libavfilter-4.4.5-r4.apk2025-10-19 15:26 1.6M 
[   ]ffmpeg4-libavformat-4.4.5-r4.apk2025-10-19 15:26 1.2M 
[   ]ffmpeg4-libavutil-4.4.5-r4.apk2025-10-19 15:26 318K 
[   ]ffmpeg4-libpostproc-4.4.5-r4.apk2025-10-19 15:26 27K 
[   ]ffmpeg4-libswresample-4.4.5-r4.apk2025-10-19 15:26 42K 
[   ]ffmpeg4-libswscale-4.4.5-r4.apk2025-10-19 15:26 167K 
[   ]ffms2-5.0-r2.apk2025-09-28 04:37 70K 
[   ]ffms2-dev-5.0-r2.apk2025-09-28 04:37 7.3K 
[   ]ffms2-doc-5.0-r2.apk2025-09-28 04:37 30K 
[   ]fheroes2-1.1.11-r0.apk2025-09-26 04:01 1.7M 
[   ]fheroes2-lang-1.1.11-r0.apk2025-09-26 04:01 1.7M 
[   ]fildesh-0.2.0-r0.apk2024-09-30 12:42 68K 
[   ]fildesh-doc-0.2.0-r0.apk2024-09-30 12:42 1.8K 
[   ]fildesh-vim-0.2.0-r0.apk2024-09-30 12:42 3.3K 
[   ]filebrowser-2.27.0-r15.apk2025-10-15 12:16 7.3M 
[   ]filebrowser-openrc-2.27.0-r15.apk2025-10-15 12:16 1.6K 
[   ]fileshelter-6.2.0-r4.apk2025-09-28 08:08 310K 
[   ]fileshelter-openrc-6.2.0-r4.apk2025-09-28 08:08 1.4K 
[   ]findtow-0.1-r0.apk2022-01-27 01:33 4.3K 
[   ]finger-0.5-r0.apk2024-03-09 09:12 6.3K 
[   ]finger-doc-0.5-r0.apk2024-03-09 09:12 3.5K 
[   ]firehol-3.1.7-r2.apk2023-05-21 12:09 84K 
[   ]firehol-doc-3.1.7-r2.apk2023-05-21 12:09 674K 
[   ]firehol-openrc-3.1.7-r2.apk2023-05-21 12:09 1.8K 
[   ]flang-next-22.0.0_pre20250926-r0.apk2025-09-28 04:37 23M 
[   ]flang-next-dev-22.0.0_pre20250926-r0.apk2025-09-28 04:37 1.0M 
[   ]flang-next-static-22.0.0_pre20250926-r0.apk2025-09-28 04:37 70M 
[   ]flann-1.9.2-r1.apk2025-02-17 12:15 1.8M 
[   ]flann-dev-1.9.2-r1.apk2025-02-17 12:15 2.7M 
[   ]flann-doc-1.9.2-r1.apk2025-02-17 12:15 2.3K 
[   ]flare-engine-1.14-r0.apk2023-01-26 19:34 4.4M 
[   ]flare-engine-doc-1.14-r0.apk2023-01-26 19:34 2.2K 
[   ]flare-game-1.14-r0.apk2023-01-26 19:34 1.9K 
[   ]flatseal-2.3.1-r0.apk2025-06-19 15:31 43K 
[   ]flatseal-doc-2.3.1-r0.apk2025-06-19 15:31 8.2K 
[   ]flatseal-lang-2.3.1-r0.apk2025-06-19 15:31 79K 
[   ]flauschige-uhr-0.1-r1.apk2022-10-25 13:04 3.7K 
[   ]flawz-0.3.0-r0.apk2024-11-03 21:58 1.2M 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 21:58 1.9K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 21:58 5.7K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 21:58 1.6K 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 21:58 2.0K 
[   ]flint-3.3.1-r0.apk2025-06-17 06:47 4.2M 
[   ]flint-dev-3.3.1-r0.apk2025-06-17 06:47 311K 
[   ]flintqs-1.0-r1.apk2023-08-19 19:23 22K 
[   ]flowd-0.9.1-r11.apk2025-07-01 13:33 84K 
[   ]flowd-dev-0.9.1-r11.apk2025-07-01 13:33 7.8K 
[   ]flowd-doc-0.9.1-r11.apk2025-07-01 13:33 9.8K 
[   ]flowd-openrc-0.9.1-r11.apk2025-07-01 13:33 1.6K 
[   ]fnf-0.1-r0.apk2024-03-09 07:45 16K 
[   ]fnf-doc-0.1-r0.apk2024-03-09 07:45 4.3K 
[   ]foma-0.10.0_git20240712-r0.apk2024-09-28 03:57 326K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-09-28 03:57 8.2K 
[   ]font-andika-six-6.210-r0.apk2025-09-28 13:52 1.5M 
[   ]font-anonymous-pro-1.002-r2.apk2022-10-13 04:22 264K 
[   ]font-aref-ruqaa-1.006-r0.apk2025-04-15 14:08 357K 
[   ]font-babelstone-han-15.1.3-r0.apk2024-01-10 22:28 18M 
[   ]font-cascadia-2407.24-r1.apk2025-05-27 17:47 1.0K 
[   ]font-cascadia-code-2407.24-r1.apk2025-05-27 17:47 526K 
[   ]font-cascadia-mono-2407.24-r1.apk2025-05-27 17:47 507K 
[   ]font-chivo-0_git20221110-r0.apk2022-12-11 10:47 792K 
[   ]font-chivo-mono-0_git20221110-r0.apk2022-12-11 10:47 626K 
[   ]font-comic-neue-2.51-r0.apk2021-07-27 08:10 249K 
[   ]font-comic-neue-doc-2.51-r0.apk2021-07-27 08:10 1.0M 
[   ]font-commit-mono-1.143-r0.apk2023-12-31 15:48 251K 
[   ]font-cousine-0_git20210228-r0.apk2024-03-03 07:17 110K 
[   ]font-fantasque-sans-1.8.0-r0.apk2021-07-27 08:10 1.2K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2021-07-27 08:10 5.5K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2021-07-27 08:10 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2021-07-27 08:10 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2021-07-27 08:10 316K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2021-07-27 08:10 316K 
[   ]font-fira-code-6.2-r0.apk2022-10-07 06:49 836K 
[   ]font-fira-code-vf-6.2-r0.apk2022-10-07 06:49 145K 
[   ]font-firamath-0.3.4-r0.apk2022-10-07 06:49 118K 
[   ]font-fontawesome-4-4.7.0-r3.apk2022-10-13 04:22 205K 
[   ]font-hanazono-20170904-r2.apk2025-09-26 04:01 29M 
[   ]font-intel-one-mono-1.3.0-r0.apk2023-09-17 23:29 281K 
[   ]font-katex-0.16.2-r0.apk2022-10-07 06:49 851K 
[   ]font-material-icons-4.0.0-r0.apk2023-02-26 19:50 652K 
[   ]font-monaspace-1.101-r0.apk2024-09-28 12:08 1.2K 
[   ]font-monaspace-argon-1.101-r0.apk2024-09-28 12:08 2.2M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-09-28 12:08 2.1M 
[   ]font-monaspace-neon-1.101-r0.apk2024-09-28 12:08 2.1M 
[   ]font-monaspace-radon-1.101-r0.apk2024-09-28 12:08 2.7M 
[   ]font-monaspace-xenon-1.101-r0.apk2024-09-28 12:08 2.3M 
[   ]font-monocraft-4.0-r0.apk2024-08-16 01:55 676K 
[   ]font-openmoji-16.0.0-r0.apk2025-08-11 06:31 1.4M 
[   ]font-siji-20190218_git-r2.apk2022-10-13 04:22 24K 
[   ]font-stix-otf-2.13-r0.apk2024-03-03 07:17 2.0M 
[   ]font-stix-ttf-2.13-r0.apk2024-03-03 07:17 430K 
[   ]font-tamzen-1.11.5-r1.apk2022-10-13 04:22 62K 
[   ]font-terminus-ttf-4.49.3-r0.apk2025-07-16 12:25 538K 
[   ]font-tinos-0_git20210228-r0.apk2024-03-03 07:17 198K 
[   ]font-tiresias-0_git20200704-r0.apk2023-01-02 22:53 568K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2023-01-02 22:53 58K 
[   ]foolsm-1.0.21-r0.apk2022-05-22 15:02 31K 
[   ]foolsm-doc-1.0.21-r0.apk2022-05-22 15:02 3.9K 
[   ]foolsm-openrc-1.0.21-r0.apk2022-05-22 15:02 1.5K 
[   ]formiko-1.5.0-r0.apk2025-08-15 09:08 107K 
[   ]formiko-doc-1.5.0-r0.apk2025-08-15 09:08 8.3K 
[   ]formiko-pyc-1.5.0-r0.apk2025-08-15 09:08 61K 
[   ]fplll-5.5.0-r0.apk2024-11-17 05:00 46K 
[   ]fplll-dev-5.5.0-r0.apk2024-11-17 05:00 78K 
[   ]fplll-libs-5.5.0-r0.apk2024-11-17 05:00 6.4M 
[   ]fplll-static-5.5.0-r0.apk2024-11-17 05:00 13M 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-17 05:00 1.7M 
[   ]fpp-0.9.5-r0.apk2022-03-01 19:44 29K 
[   ]fpp-doc-0.9.5-r0.apk2022-03-01 19:44 5.6K 
[   ]fq-0.15.1-r2.apk2025-10-15 12:16 4.3M 
[   ]freealut-1.1.0-r1.apk2022-10-07 06:49 16K 
[   ]freealut-dev-1.1.0-r1.apk2022-10-07 06:49 27K 
[   ]freediameter-1.5.0-r1.apk2022-11-06 11:01 8.2K 
[   ]freediameter-dev-1.5.0-r1.apk2022-11-06 11:01 54K 
[   ]freediameter-extensions-1.5.0-r1.apk2022-11-06 11:01 357K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2022-11-06 11:01 156K 
[   ]freediameter-libfdproto-1.5.0-r1.apk2022-11-06 11:01 82K 
[   ]frescobaldi-3.3.0-r1.apk2024-04-30 01:05 3.5M 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-04-30 01:05 2.2K 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-04-30 01:05 1.2M 
[   ]freshrss-1.23.1-r1.apk2024-03-25 12:20 1.5M 
[   ]freshrss-doc-1.23.1-r1.apk2024-03-25 12:20 751K 
[   ]freshrss-lang-1.23.1-r1.apk2024-03-25 12:20 379K 
[   ]freshrss-mysql-1.23.1-r1.apk2024-03-25 12:20 1.2K 
[   ]freshrss-openrc-1.23.1-r1.apk2024-03-25 12:20 2.3K 
[   ]freshrss-pgsql-1.23.1-r1.apk2024-03-25 12:20 1.2K 
[   ]freshrss-sqlite-1.23.1-r1.apk2024-03-25 12:20 1.2K 
[   ]freshrss-themes-1.23.1-r1.apk2024-03-25 12:20 1.5M 
[   ]fungw-1.2.2-r0.apk2025-09-26 04:01 14K 
[   ]fungw-c-1.2.2-r0.apk2025-09-26 04:01 9.5K 
[   ]fungw-cli-1.2.2-r0.apk2025-09-26 04:01 36K 
[   ]fungw-dev-1.2.2-r0.apk2025-09-26 04:01 7.6K 
[   ]fungw-doc-1.2.2-r0.apk2025-09-26 04:01 13K 
[   ]fungw-duktape-1.2.2-r0.apk2025-09-26 04:01 25K 
[   ]fungw-fawk-1.2.2-r0.apk2025-09-26 04:01 214K 
[   ]fungw-lua-1.2.2-r0.apk2025-09-26 04:01 21K 
[   ]fungw-mujs-1.2.2-r0.apk2025-09-26 04:01 24K 
[   ]fungw-perl-1.2.2-r0.apk2025-09-26 04:01 69K 
[   ]fungw-python3-1.2.2-r0.apk2025-09-26 04:01 39K 
[   ]fungw-tcl-1.2.2-r0.apk2025-09-26 04:01 19K 
[   ]fusee-nano-0.5.3-r1.apk2024-09-30 12:42 20K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-09-30 12:42 1.4K 
[   ]fusesoc-2.3-r0.apk2024-07-23 01:14 46K 
[   ]fusesoc-pyc-2.3-r0.apk2024-07-23 01:14 89K 
[   ]fuzzylite-6.0-r2.apk2025-01-31 22:07 3.8K 
[   ]fuzzylite-dev-6.0-r2.apk2025-01-31 22:07 68K 
[   ]fuzzylite-doc-6.0-r2.apk2025-01-31 22:07 1.8K 
[   ]fuzzylite-libs-6.0-r2.apk2025-01-31 22:07 360K 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-07-10 03:12 5.6M 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-07-10 03:12 1.1M 
[   ]fynedesk-0.4.0-r1.apk2025-10-15 12:16 13M 
[   ]gambit-4.9.5-r1.apk2025-04-07 10:55 9.5M 
[   ]gambit-dev-4.9.5-r1.apk2025-04-07 10:55 9.6M 
[   ]gambit-doc-4.9.5-r1.apk2025-04-07 10:55 4.1K 
[   ]game-devices-udev-0.23-r0.apk2024-11-12 11:30 5.9K 
[   ]gamemode-1.8.2-r0.apk2025-02-06 02:27 73K 
[   ]gamemode-dev-1.8.2-r0.apk2025-02-06 02:27 4.8K 
[   ]gamemode-doc-1.8.2-r0.apk2025-02-06 02:27 7.3K 
[   ]gammastep-2.0.9-r3.apk2024-04-30 01:05 89K 
[   ]gammastep-doc-2.0.9-r3.apk2024-04-30 01:05 14K 
[   ]gammastep-lang-2.0.9-r3.apk2024-04-30 01:05 77K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-04-30 01:05 17K 
[   ]gatling-0.16-r6.apk2024-09-24 18:24 143K 
[   ]gatling-doc-0.16-r6.apk2024-09-24 18:24 8.8K 
[   ]gatling-openrc-0.16-r6.apk2024-09-24 18:24 2.5K 
[   ]gaupol-1.12-r2.apk2024-04-30 01:05 276K 
[   ]gaupol-doc-1.12-r2.apk2024-04-30 01:05 2.1K 
[   ]gaupol-lang-1.12-r2.apk2024-04-30 01:05 276K 
[   ]gaupol-pyc-1.12-r2.apk2024-04-30 01:05 419K 
[   ]gb-0.4.4-r35.apk2025-10-15 12:16 6.9M 
[   ]gcli-2.6.1-r0.apk2025-01-26 17:29 124K 
[   ]gcli-doc-2.6.1-r0.apk2025-01-26 17:29 28K 
[   ]gdcm-3.2.2-r0.apk2025-10-16 22:05 409K 
[   ]gdcm-dev-3.2.2-r0.apk2025-10-16 22:05 450K 
[   ]gearman-dev-1.1.22-r0.apk2025-09-26 04:01 2.1M 
[   ]gearman-libs-1.1.22-r0.apk2025-09-26 04:01 79K 
[   ]gearmand-1.1.22-r0.apk2025-09-26 04:01 167K 
[   ]gearmand-doc-1.1.22-r0.apk2025-09-26 04:01 189K 
[   ]gearmand-openrc-1.1.22-r0.apk2025-09-26 04:01 1.5K 
[   ]gede-2.18.2-r1.apk2023-12-19 21:12 286K 
[   ]genact-1.4.2-r0.apk2024-03-03 07:17 1.3M 
[   ]geoclue-stumbler-1.1-r0.apk2025-10-04 17:09 41K 
[   ]geodns-3.3.0-r17.apk2025-10-15 12:16 4.7M 
[   ]geodns-logs-3.3.0-r17.apk2025-10-15 12:16 4.3M 
[   ]geodns-openrc-3.3.0-r17.apk2025-10-15 12:16 1.5K 
[   ]geomyidae-0.34-r2.apk2022-10-25 13:04 13K 
[   ]geomyidae-doc-0.34-r2.apk2022-10-25 13:04 7.4K 
[   ]geomyidae-openrc-0.34-r2.apk2022-10-25 13:04 1.7K 
[   ]geonames-0.3.1-r2.apk2024-06-22 10:59 827K 
[   ]geonames-dev-0.3.1-r2.apk2024-06-22 10:59 2.8K 
[   ]geonames-doc-0.3.1-r2.apk2024-06-22 10:59 12K 
[   ]geonames-lang-0.3.1-r2.apk2024-06-22 10:59 4.6M 
[   ]getmail6-6.19.10-r0.apk2025-08-19 23:17 70K 
[   ]getmail6-doc-6.19.10-r0.apk2025-08-19 23:17 138K 
[   ]getmail6-pyc-6.19.10-r0.apk2025-08-19 23:17 103K 
[   ]getssl-2.48-r0.apk2024-03-03 07:17 82K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-09 00:30 715K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-09 00:30 497K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-09 00:30 228K 
[   ]gf2x-1.3.0-r1.apk2024-08-29 10:07 39K 
[   ]gf2x-dev-1.3.0-r1.apk2024-08-29 10:07 87K 
[   ]gfan-0.6.2-r1.apk2023-08-19 19:23 1.6M 
[   ]ghc-filesystem-1.5.14-r0.apk2024-06-25 11:42 38K 
[   ]ghq-1.8.0-r5.apk2025-10-15 12:16 3.6M 
[   ]ghq-bash-completion-1.8.0-r5.apk2025-10-15 12:16 1.5K 
[   ]ghq-doc-1.8.0-r5.apk2025-10-15 12:16 5.2K 
[   ]ghq-fish-completion-1.8.0-r5.apk2025-10-15 12:16 2.3K 
[   ]ghq-zsh-completion-1.8.0-r5.apk2025-10-15 12:16 2.2K 
[   ]gimp-plugin-gmic-3.6.0-r0.apk2025-08-22 18:13 1.3M 
[   ]ginac-1.8.9-r0.apk2025-05-25 08:06 1.1M 
[   ]ginac-dev-1.8.9-r0.apk2025-05-25 08:06 68K 
[   ]ginac-doc-1.8.9-r0.apk2025-05-25 08:06 97K 
[   ]git-bug-0.8.1-r5.apk2025-10-15 12:16 9.8M 
[   ]git-bug-bash-completion-0.8.1-r5.apk2025-10-15 12:16 5.0K 
[   ]git-bug-doc-0.8.1-r5.apk2025-10-15 12:16 17K 
[   ]git-bug-fish-completion-0.8.1-r5.apk2025-10-15 12:16 4.1K 
[   ]git-bug-zsh-completion-0.8.1-r5.apk2025-10-15 12:16 3.8K 
[   ]git-extras-7.4.0-r0.apk2025-07-23 02:57 57K 
[   ]git-extras-bash-completion-7.4.0-r0.apk2025-07-23 02:57 2.6K 
[   ]git-extras-doc-7.4.0-r0.apk2025-07-23 02:57 65K 
[   ]git-graph-0.6.0-r0.apk2024-11-25 23:44 847K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-25 23:44 6.0K 
[   ]git-quick-stats-2.8.0-r0.apk2025-09-26 04:01 15K 
[   ]git-quick-stats-doc-2.8.0-r0.apk2025-09-26 04:01 3.2K 
[   ]git-revise-0.7.0-r5.apk2024-08-07 01:50 24K 
[   ]git-revise-doc-0.7.0-r5.apk2024-08-07 01:50 4.7K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-08-07 01:50 42K 
[   ]git-secret-0.5.0-r0.apk2022-10-28 14:18 14K 
[   ]git-secret-doc-0.5.0-r0.apk2022-10-28 14:18 17K 
[   ]git2json-0.2.3-r8.apk2024-04-30 01:05 7.1K 
[   ]git2json-pyc-0.2.3-r8.apk2024-04-30 01:05 5.4K 
[   ]gitu-0.38.0-r0.apk2025-10-15 12:16 4.7M 
[   ]gitu-doc-0.38.0-r0.apk2025-10-15 12:16 3.3K 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 22:37 383K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 22:37 16K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 22:37 18K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 22:37 379K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 22:37 51K 
[   ]glfw-wayland-3.3.8-r3.apk2023-07-06 17:21 65K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2023-07-06 17:21 193K 
[   ]glfw-wayland-dev-3.3.8-r3.apk2023-07-06 17:21 45K 
[   ]gliderlabs-sigil-0.11.0-r9.apk2025-10-15 12:16 3.2M 
[   ]gliderlabs-sigil-doc-0.11.0-r9.apk2025-10-15 12:16 2.2K 
[   ]glmark2-2023.01-r1.apk2024-07-12 03:34 8.0M 
[   ]glmark2-doc-2023.01-r1.apk2024-07-12 03:34 13K 
[   ]gloox-1.0.28-r0.apk2023-12-14 16:55 380K 
[   ]gloox-dev-1.0.28-r0.apk2023-12-14 16:55 1.6M 
[   ]glow-2.1.1-r4.apk2025-10-15 12:16 5.9M 
[   ]glow-bash-completion-2.1.1-r4.apk2025-10-15 12:16 5.8K 
[   ]glow-doc-2.1.1-r4.apk2025-10-15 12:16 2.9K 
[   ]glow-fish-completion-2.1.1-r4.apk2025-10-15 12:16 4.1K 
[   ]glow-zsh-completion-2.1.1-r4.apk2025-10-15 12:16 3.8K 
[   ]glslviewer-3.2.4-r2.apk2025-08-28 19:38 1.7M 
[   ]gmcapsule-0.9.8-r0.apk2025-10-07 09:40 36K 
[   ]gmcapsule-openrc-0.9.8-r0.apk2025-10-07 09:40 1.7K 
[   ]gmcapsule-pyc-0.9.8-r0.apk2025-10-07 09:40 61K 
[   ]gmenuharness-0.1.4-r2.apk2025-02-17 12:15 37K 
[   ]gmenuharness-dev-0.1.4-r2.apk2025-02-17 12:15 3.8K 
[   ]gmic-3.6.0-r0.apk2025-08-22 18:13 12M 
[   ]gmic-bash-completion-3.6.0-r0.apk2025-08-22 18:13 28K 
[   ]gmic-dev-3.6.0-r0.apk2025-08-22 18:13 7.4K 
[   ]gmic-doc-3.6.0-r0.apk2025-08-22 18:13 223K 
[   ]gmic-libs-3.6.0-r0.apk2025-08-22 18:13 3.2M 
[   ]gmic-qt-3.6.0-r0.apk2025-08-22 18:13 1.6M 
[   ]gmid-2.1.1-r0.apk2024-11-27 19:26 231K 
[   ]gmid-doc-2.1.1-r0.apk2024-11-27 19:26 14K 
[   ]gmid-openrc-2.1.1-r0.apk2024-11-27 19:26 1.9K 
[   ]gmsh-4.12.2-r2.apk2024-06-17 08:19 8.6M 
[   ]gmsh-dbg-4.12.2-r2.apk2024-06-17 08:19 113M 
[   ]gmsh-doc-4.12.2-r2.apk2024-06-17 08:19 1.9M 
[   ]gmsh-py-4.12.2-r2.apk2024-06-17 08:19 6.5K 
[   ]gnome-common-3.18.0-r3.apk2023-01-29 21:05 11K 
[   ]gnome-mahjongg-49.0.1-r0.apk2025-10-18 21:17 2.4M 
[   ]gnome-mahjongg-doc-49.0.1-r0.apk2025-10-18 21:17 1.9K 
[   ]gnome-mahjongg-lang-49.0.1-r0.apk2025-10-18 21:17 170K 
[   ]gnome-metronome-1.3.0-r0.apk2023-12-14 16:55 473K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2023-12-14 16:55 24K 
[   ]gnome-mimeapps-0.1-r1.apk2025-08-10 16:56 3.4K 
[   ]gnome-user-share-48.1-r1.apk2025-08-10 16:56 317K 
[   ]gnome-user-share-lang-48.1-r1.apk2025-08-10 16:56 68K 
[   ]gnu-apl-1.9-r0.apk2024-07-10 03:12 1.3M 
[   ]gnu-apl-dev-1.9-r0.apk2024-07-10 03:12 893K 
[   ]gnu-apl-doc-1.9-r0.apk2024-07-10 03:12 1.6M 
[   ]gnucobol-3.2-r0.apk2025-07-28 14:05 1.0M 
[   ]gnucobol-doc-3.2-r0.apk2025-07-28 14:05 71K 
[   ]gnucobol-lang-3.2-r0.apk2025-07-28 14:05 316K 
[   ]go-away-0.7.0-r1.apk2025-09-26 04:01 7.8M 
[   ]go-away-openrc-0.7.0-r1.apk2025-09-26 04:01 2.0K 
[   ]go-jsonnet-0.21.0-r4.apk2025-10-15 12:16 6.3M 
[   ]go-mtpfs-1.0.0-r31.apk2025-10-15 12:16 1.2M 
[   ]go-passbolt-cli-0.3.2-r7.apk2025-10-15 12:16 6.0M 
[   ]gobuster-3.8.0-r2.apk2025-10-15 12:16 3.6M 
[   ]godini-1.0.0-r4.apk2025-10-15 12:16 1.4M 
[   ]godini-doc-1.0.0-r4.apk2025-10-15 12:16 15K 
[   ]gomp-1.0.0-r16.apk2025-10-15 12:16 3.5M 
[   ]goomwwm-1.0.0-r5.apk2024-09-30 08:08 47K 
[   ]goreman-0.3.15-r17.apk2025-10-15 12:16 2.4M 
[   ]goshs-1.1.1-r0.apk2025-10-15 12:16 6.2M 
[   ]goshs-doc-1.1.1-r0.apk2025-10-15 12:16 2.0K 
[   ]gossip-0.14.0-r0.apk2025-03-19 18:47 28M 
[   ]gossip-doc-0.14.0-r0.apk2025-03-19 18:47 22K 
[   ]gotify-2.7.2-r1.apk2025-10-15 12:16 11M 
[   ]gotify-cli-2.3.2-r9.apk2025-10-15 12:16 4.2M 
[   ]gotify-openrc-2.7.2-r1.apk2025-10-15 12:16 1.7K 
[   ]goxel-0.15.1-r0.apk2024-08-31 16:53 1.7M 
[   ]gprbuild-22.0.0-r3.apk2025-07-27 15:14 12M 
[   ]gpscorrelate-2.3-r0.apk2025-03-27 06:46 47K 
[   ]gpscorrelate-cli-2.3-r0.apk2025-03-27 06:46 23K 
[   ]gpscorrelate-doc-2.3-r0.apk2025-03-27 06:46 285K 
[   ]gpscorrelate-lang-2.3-r0.apk2025-03-27 06:46 17K 
[   ]gr-satellites-5.5.0-r6.apk2025-10-15 12:16 481K 
[   ]gr-satellites-dev-5.5.0-r6.apk2025-10-15 12:16 12K 
[   ]gr-satellites-doc-5.5.0-r6.apk2025-10-15 12:16 4.3K 
[   ]gradia-1.9.0-r0.apk2025-09-26 04:01 530K 
[   ]gradia-dev-1.9.0-r0.apk2025-09-26 04:01 2.3K 
[   ]gradia-lang-1.9.0-r0.apk2025-09-26 04:01 55K 
[   ]granite7-7.5.0-r0.apk2024-10-13 21:46 119K 
[   ]granite7-dev-7.5.0-r0.apk2024-10-13 21:46 41K 
[   ]granite7-lang-7.5.0-r0.apk2024-10-13 21:46 52K 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2023-08-22 10:23 19K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2023-08-22 10:23 1.9K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2023-08-22 10:23 3.0K 
[   ]grip-4.2.4-r0.apk2023-01-26 19:34 375K 
[   ]grip-doc-4.2.4-r0.apk2023-01-26 19:34 5.9K 
[   ]grip-lang-4.2.4-r0.apk2023-01-26 19:34 144K 
[   ]gron-0.7.1-r29.apk2025-10-15 12:16 2.5M 
[   ]gron-doc-0.7.1-r29.apk2025-10-15 12:16 6.1K 
[   ]grpcui-1.5.1-r3.apk2025-10-15 12:16 8.5M 
[   ]grpcurl-1.9.3-r7.apk2025-10-15 12:16 8.1M 
[   ]gsettings-qt-1.0.0-r0.apk2025-03-14 22:16 28K 
[   ]gsettings-qt-dev-1.0.0-r0.apk2025-03-14 22:16 3.2K 
[   ]gsimplecal-2.5.2-r0.apk2025-10-16 18:49 16K 
[   ]gsimplecal-doc-2.5.2-r0.apk2025-10-16 18:49 5.5K 
[   ]gssdp-1.6.4-r1.apk2025-08-10 16:56 45K 
[   ]gssdp-dev-1.6.4-r1.apk2025-08-10 16:56 15K 
[   ]gst-video-thumbnailer-0_git20250917-r0.apk2025-09-26 04:01 185K 
[   ]gstreamermm-1.10.0-r6.apk2025-02-17 12:15 478K 
[   ]gstreamermm-dev-1.10.0-r6.apk2025-02-17 12:15 309K 
[   ]gtimelog-0.12_git20250120-r1.apk2025-08-10 16:56 219K 
[   ]gtimelog-pyc-0.12_git20250120-r1.apk2025-08-10 16:56 102K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-01-31 16:18 35K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-01-31 16:18 5.0K 
[   ]gtkhash-1.5-r0.apk2025-03-10 19:00 85K 
[   ]gtkhash-lang-1.5-r0.apk2025-03-10 19:00 45K 
[   ]gtklock-4.0.0-r0.apk2025-01-31 16:18 18K 
[   ]gtklock-doc-4.0.0-r0.apk2025-01-31 16:18 2.7K 
[   ]gtkwave-3.3.120-r0.apk2024-09-01 17:05 2.6M 
[   ]gtkwave-doc-3.3.120-r0.apk2024-09-01 17:05 27K 
[   ]gtranslator-49.0-r0.apk2025-09-26 04:01 140K 
[   ]gtranslator-doc-49.0-r0.apk2025-09-26 04:01 637K 
[   ]gtranslator-lang-49.0-r0.apk2025-09-26 04:01 593K 
[   ]gtypist-2.10.1-r0.apk2025-10-15 12:16 636K 
[   ]gtypist-doc-2.10.1-r0.apk2025-10-15 12:16 104K 
[   ]gtypist-lang-2.10.1-r0.apk2025-10-15 12:16 26K 
[   ]guake-3.10-r1.apk2024-04-30 01:05 305K 
[   ]guake-lang-3.10-r1.apk2024-04-30 01:05 188K 
[   ]guake-pyc-3.10-r1.apk2024-04-30 01:05 186K 
[   ]guetzli-0_git20191025-r2.apk2025-05-25 08:06 142K 
[   ]guetzli-dev-0_git20191025-r2.apk2025-05-25 08:06 3.9M 
[   ]gufw-24.04-r3.apk2024-11-20 00:38 596K 
[   ]gufw-doc-24.04-r3.apk2024-11-20 00:38 4.2K 
[   ]gufw-lang-24.04-r3.apk2024-11-20 00:38 854K 
[   ]gufw-pyc-24.04-r3.apk2024-11-20 00:38 64K 
[   ]gupnp-1.6.9-r1.apk2025-08-10 16:56 88K 
[   ]gupnp-av-0.14.4-r1.apk2025-08-10 16:56 78K 
[   ]gupnp-av-dev-0.14.4-r1.apk2025-08-10 16:56 41K 
[   ]gupnp-dev-1.6.9-r1.apk2025-08-10 16:56 50K 
[   ]gupnp-dlna-0.12.0-r1.apk2025-08-10 16:56 65K 
[   ]gupnp-dlna-dev-0.12.0-r1.apk2025-08-10 16:56 24K 
[   ]gupnp-doc-1.6.9-r1.apk2025-08-10 16:56 3.5K 
[   ]gx-0.14.3-r34.apk2025-10-15 12:16 4.6M 
[   ]gx-doc-0.14.3-r34.apk2025-10-15 12:16 2.0K 
[   ]gxlimg-0_git20240711-r0.apk2025-01-21 20:48 22K 
[   ]gyosu-0.2.0-r2.apk2025-10-15 12:16 1.8M 
[   ]halp-0.2.0-r0.apk2024-08-31 15:05 874K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-08-31 15:05 1.9K 
[   ]halp-doc-0.2.0-r0.apk2024-08-31 15:05 6.6K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-08-31 15:05 1.7K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-08-31 15:05 2.1K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-04-30 01:05 156K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-04-30 01:05 1.7K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-04-30 01:05 116K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-04-30 01:05 205K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-04-30 01:05 358K 
[   ]handlebars-1.0.0-r1.apk2023-05-21 12:09 103K 
[   ]handlebars-dev-1.0.0-r1.apk2023-05-21 12:09 32K 
[   ]handlebars-utils-1.0.0-r1.apk2023-05-21 12:09 9.4K 
[   ]hare-adwaita-0.1.0-r0.apk2025-08-25 18:30 89K 
[   ]hare-gi-0.1.0-r0.apk2025-08-25 18:30 1.6M 
[   ]hare-gtk4-layer-shell-0.1.0-r0.apk2025-08-25 18:30 3.5K 
[   ]hare-http-0.25.2.0-r1.apk2025-08-10 16:56 20K 
[   ]hare-irc-0.25.2.0-r0.apk2025-06-25 07:25 10K 
[   ]hare-madeline-0.1_git20240505-r1.apk2024-11-29 12:38 24K 
[   ]hare-madeline-doc-0.1_git20240505-r1.apk2024-11-29 12:38 1.9K 
[   ]hare-scfg-0.25.2-r0.apk2025-09-26 04:01 4.4K 
[   ]haredo-1.0.5-r1.apk2024-11-29 12:38 149K 
[   ]haredo-doc-1.0.5-r1.apk2024-11-29 12:38 4.4K 
[   ]harminv-1.4.2-r1.apk2023-12-14 16:55 7.2K 
[   ]harminv-dev-1.4.2-r1.apk2023-12-14 16:55 2.9K 
[   ]harminv-doc-1.4.2-r1.apk2023-12-14 16:55 5.4K 
[   ]harminv-libs-1.4.2-r1.apk2023-12-14 16:55 25K 
[   ]hashcat-6.2.6-r0.apk2022-10-07 06:49 55M 
[   ]hashcat-doc-6.2.6-r0.apk2022-10-07 06:49 2.1M 
[   ]hatch-1.14.1-r0.apk2025-04-12 01:36 102K 
[   ]hatch-pyc-1.14.1-r0.apk2025-04-12 01:36 216K 
[   ]hatop-0.8.2-r0.apk2022-10-07 06:49 18K 
[   ]hatop-doc-0.8.2-r0.apk2022-10-07 06:49 2.7K 
[   ]hctl-0.2.7-r0.apk2025-05-15 20:19 1.3M 
[   ]hddfancontrol-1.6.2-r0.apk2024-06-27 20:49 33K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-06-27 20:49 1.9K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-06-27 20:49 34K 
[   ]heh-0.6.1-r0.apk2024-08-29 10:07 466K 
[   ]heh-doc-0.6.1-r0.apk2024-08-29 10:07 3.8K 
[   ]heisenbridge-1.15.4-r0.apk2025-10-06 05:08 67K 
[   ]heisenbridge-pyc-1.15.4-r0.apk2025-10-06 05:08 155K 
[   ]helm-diff-3.11.0-r5.apk2025-10-15 12:16 21M 
[   ]helm-ls-0.5.2-r0.apk2025-10-19 16:07 18M 
[   ]helm-ls-doc-0.5.2-r0.apk2025-10-19 16:07 2.0K 
[   ]helm-mapkubeapis-0.5.2-r10.apk2025-10-15 12:16 19M 
[   ]helm-unittest-0.8.2-r4.apk2025-10-15 12:16 11M 
[   ]helmfile-1.1.3-r3.apk2025-10-15 12:16 46M 
[   ]helmfile-bash-completion-1.1.3-r3.apk2025-10-15 12:16 5.9K 
[   ]helmfile-doc-1.1.3-r3.apk2025-10-15 12:16 2.0K 
[   ]helmfile-fish-completion-1.1.3-r3.apk2025-10-15 12:16 4.1K 
[   ]helmfile-zsh-completion-1.1.3-r3.apk2025-10-15 12:16 3.8K 
[   ]herbe-1.0.0-r0.apk2022-10-07 06:49 5.0K 
[   ]hexedit-1.6_git20230905-r0.apk2024-04-04 15:03 18K 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-04-04 15:03 5.3K 
[   ]hfst-3.16.2-r0.apk2025-04-07 08:32 1.4M 
[   ]hfst-dev-3.16.2-r0.apk2025-04-07 08:32 209K 
[   ]hfst-doc-3.16.2-r0.apk2025-04-07 08:32 69K 
[   ]hfst-libs-3.16.2-r0.apk2025-04-07 08:32 2.0M 
[   ]hiawatha-11.6-r1.apk2025-05-27 17:06 187K 
[   ]hiawatha-doc-11.6-r1.apk2025-05-27 17:06 21K 
[   ]hiawatha-letsencrypt-11.6-r1.apk2025-05-27 17:06 17K 
[   ]hiawatha-openrc-11.6-r1.apk2025-05-27 17:06 1.4K 
[   ]hidrd-0.2.0_git20190603-r1.apk2022-10-25 13:04 60K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2022-10-25 13:04 159K 
[   ]highctidh-1.0.2024092800-r0.apk2024-11-25 20:33 323K 
[   ]highctidh-dev-1.0.2024092800-r0.apk2024-11-25 20:33 477K 
[   ]highfive-2.10.1-r0.apk2025-01-15 08:44 75K 
[   ]hilbish-2.3.4-r9.apk2025-10-15 12:16 3.4M 
[   ]hilbish-doc-2.3.4-r9.apk2025-10-15 12:16 25K 
[   ]himitsu-firefox-0.6-r1.apk2024-11-29 12:38 198K 
[   ]himitsu-git-0.9.0-r0.apk2025-08-20 17:10 113K 
[   ]himitsu-secret-service-0.1_git20250705-r1.apk2025-10-20 13:52 16K 
[   ]himitsu-secret-service-doc-0.1_git20250705-r1.apk2025-10-20 13:52 3.7K 
[   ]himitsu-secret-service-pyc-0.1_git20250705-r1.apk2025-10-20 13:52 28K 
[   ]himitsu-totp-0.9-r0.apk2025-09-26 04:01 147K 
[   ]himitsu-totp-doc-0.9-r0.apk2025-09-26 04:01 2.1K 
[   ]hiprompt-gtk-py-0.8.0-r1.apk2025-09-26 04:01 7.8K 
[   ]hitide-0.15.0-r0.apk2024-03-29 02:20 1.9M 
[   ]hitide-openrc-0.15.0-r0.apk2024-03-29 02:20 1.9K 
[   ]homebank-5.9.5-r0.apk2025-10-15 12:16 2.0M 
[   ]homebank-lang-5.9.5-r0.apk2025-10-15 12:16 942K 
[   ]horizon-0.9.6-r9.apk2024-04-30 01:05 208K 
[   ]horizon-dbg-0.9.6-r9.apk2024-04-30 01:05 3.4M 
[   ]horizon-dev-0.9.6-r9.apk2024-04-30 01:05 4.6K 
[   ]horizon-doc-0.9.6-r9.apk2024-04-30 01:05 21K 
[   ]horizon-image-0.9.6-r9.apk2024-04-30 01:05 68K 
[   ]horizon-tools-0.9.6-r9.apk2024-04-30 01:05 82K 
[   ]horust-0.1.9-r0.apk2025-10-15 12:16 1.2M 
[   ]horust-doc-0.1.9-r0.apk2025-10-15 12:16 9.9K 
[   ]hping3-20051105-r4.apk2021-07-27 08:11 68K 
[   ]hping3-doc-20051105-r4.apk2021-07-27 08:11 17K 
[   ]hplip-3.25.6-r0.apk2025-10-04 02:34 5.7M 
[   ]hplip-doc-3.25.6-r0.apk2025-10-04 02:34 31K 
[   ]hplip-libs-3.25.6-r0.apk2025-10-04 02:34 122K 
[   ]hplip-ppd-3.25.6-r0.apk2025-10-04 02:34 16M 
[   ]hpnssh-18.6.2-r0.apk2025-03-21 11:54 2.4M 
[   ]hpnssh-doc-18.6.2-r0.apk2025-03-21 11:54 99K 
[   ]hsetroot-1.0.5-r1.apk2022-10-25 13:04 9.0K 
[   ]htmlcxx-0.87-r1.apk2022-10-14 19:59 60K 
[   ]htmlcxx-dev-0.87-r1.apk2022-10-14 19:59 20K 
[   ]htslib-1.19-r0.apk2023-12-14 16:55 386K 
[   ]htslib-dev-1.19-r0.apk2023-12-14 16:55 115K 
[   ]htslib-doc-1.19-r0.apk2023-12-14 16:55 22K 
[   ]htslib-static-1.19-r0.apk2023-12-14 16:55 873K 
[   ]htslib-tools-1.19-r0.apk2023-12-14 16:55 1.2M 
[   ]httpie-oauth-1.0.2-r9.apk2024-04-30 01:05 3.1K 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-04-30 01:05 2.0K 
[   ]httplz-2.2.0-r0.apk2025-05-18 15:44 1.3M 
[   ]httplz-doc-2.2.0-r0.apk2025-05-18 15:44 2.0K 
[   ]httpx-1.7.1-r2.apk2025-10-15 12:16 14M 
[   ]httpx-doc-1.7.1-r2.apk2025-10-15 12:16 2.0K 
[   ]httrack-3.49.2-r5.apk2023-05-21 12:09 761K 
[   ]httrack-doc-3.49.2-r5.apk2023-05-21 12:09 528K 
[   ]hub-2.14.2-r35.apk2025-10-15 12:16 2.8M 
[   ]hub-bash-completion-2.14.2-r35.apk2025-10-15 12:16 4.3K 
[   ]hub-doc-2.14.2-r35.apk2025-10-15 12:16 42K 
[   ]hub-fish-completion-2.14.2-r35.apk2025-10-15 12:16 3.0K 
[   ]hub-zsh-completion-2.14.2-r35.apk2025-10-15 12:16 3.4K 
[   ]hubble-cli-0.13.6-r9.apk2025-10-15 12:16 17M 
[   ]hubble-cli-bash-completion-0.13.6-r9.apk2025-10-15 12:16 4.8K 
[   ]hubble-cli-fish-completion-0.13.6-r9.apk2025-10-15 12:16 4.1K 
[   ]hubble-cli-zsh-completion-0.13.6-r9.apk2025-10-15 12:16 3.8K 
[   ]hunspell-ca-es-3.0.7-r0.apk2022-12-04 12:25 731K 
[   ]hunspell-fr-7.0-r0.apk2025-06-13 15:20 1.2M 
[   ]hunspell-fr-doc-7.0-r0.apk2025-06-13 15:20 2.6K 
[   ]hurl-6.1.1-r0.apk2025-05-03 17:50 1.8M 
[   ]hurl-bash-completion-6.1.1-r0.apk2025-05-03 17:50 2.0K 
[   ]hurl-doc-6.1.1-r0.apk2025-05-03 17:50 8.5K 
[   ]hurl-fish-completion-6.1.1-r0.apk2025-05-03 17:50 3.3K 
[   ]hurl-zsh-completion-6.1.1-r0.apk2025-05-03 17:50 3.7K 
[   ]hurlfmt-6.1.1-r0.apk2025-05-03 17:50 877K 
[   ]hw-probe-1.6.6-r2.apk2025-07-01 13:33 124K 
[   ]hwatch-0.3.11-r0.apk2024-03-25 12:20 968K 
[   ]hwatch-doc-0.3.11-r0.apk2024-03-25 12:20 2.8K 
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-03-25 12:20 1.6K 
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-03-25 12:20 1.7K 
[   ]hx-1.0.15-r0.apk2024-08-07 01:50 14K 
[   ]hx-doc-1.0.15-r0.apk2024-08-07 01:50 4.5K 
[   ]hyfetch-2.0.2-r0.apk2025-09-26 04:01 871K 
[   ]hyfetch-bash-completion-2.0.2-r0.apk2025-09-26 04:01 3.1K 
[   ]hyfetch-doc-2.0.2-r0.apk2025-09-26 04:01 18K 
[   ]hyfetch-zsh-completion-2.0.2-r0.apk2025-09-26 04:01 2.3K 
[   ]hyperrogue-13.1f-r0.apk2025-10-15 12:16 84M 
[   ]hyperrogue-doc-13.1f-r0.apk2025-10-15 12:16 7.7K 
[   ]hypnotix-3.5-r0.apk2023-07-18 01:26 110K 
[   ]hypnotix-lang-3.5-r0.apk2023-07-18 01:26 72K 
[   ]hypridle-0.1.7-r0.apk2025-09-26 04:01 129K 
[   ]hypridle-doc-0.1.7-r0.apk2025-09-26 04:01 2.1K 
[   ]hypridle-openrc-0.1.7-r0.apk2025-09-26 04:01 1.4K 
[   ]hyprlock-0.9.1-r0.apk2025-09-28 04:37 400K 
[   ]hyprpicker-0.4.5-r0.apk2025-09-26 04:01 119K 
[   ]hyprpicker-doc-0.4.5-r0.apk2025-09-26 04:01 3.6K 
[   ]hyprsunset-0.3.3-r0.apk2025-10-15 12:16 133K 
[   ]hyprsunset-doc-0.3.3-r0.apk2025-10-15 12:16 2.2K 
[   ]hyprsunset-openrc-0.3.3-r0.apk2025-10-15 12:16 1.5K 
[   ]hyx-2024.02.29-r0.apk2024-09-26 11:19 17K 
[   ]hyx-doc-2024.02.29-r0.apk2024-09-26 11:19 2.0K 
[   ]i2util-4.2.1-r1.apk2022-10-25 13:04 16K 
[   ]i2util-dev-4.2.1-r1.apk2022-10-25 13:04 67K 
[   ]i2util-doc-4.2.1-r1.apk2022-10-25 13:04 4.5K 
[   ]i3bar-river-1.1.0-r0.apk2025-03-14 22:16 507K 
[   ]i3status-rust-0.34.0-r0.apk2025-07-20 00:34 4.9M 
[   ]i3status-rust-doc-0.34.0-r0.apk2025-07-20 00:34 33K 
[   ]ibus-typing-booster-2.28.1-r0.apk2025-10-18 18:23 13M 
[   ]ibus-typing-booster-lang-2.28.1-r0.apk2025-10-18 18:23 313K 
[   ]ibus-typing-booster-pyc-2.28.1-r0.apk2025-10-18 18:23 1.3M 
[   ]icesprog-0_git20240108-r1.apk2024-09-30 12:42 9.2K 
[   ]icesprog-udev-0_git20240108-r1.apk2024-09-30 12:42 1.6K 
[   ]icestorm-0_git20240517-r0.apk2024-06-22 10:59 17M 
[   ]icingaweb2-module-businessprocess-2.5.2-r0.apk2025-08-13 19:49 110K 
[   ]icingaweb2-module-businessprocess-doc-2.5.2-r0.apk2025-08-13 19:49 2.0M 
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2023-12-14 16:55 11K 
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2023-12-14 16:55 231K 
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2023-01-12 16:30 6.3K 
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2023-01-12 16:30 1.6K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2021-07-27 08:11 8.9K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2021-07-27 08:11 1.6K 
[   ]identities-0.2.2-r0.apk2025-10-18 15:41 17K 
[   ]identme-0.6.0-r0.apk2025-04-07 08:32 46K 
[   ]idesk-1-r1.apk2022-10-14 19:59 68K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 22:46 13K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 22:46 2.2K 
[   ]idevicerestore-1.0.0_git20250914-r0.apk2025-10-06 17:35 99K 
[   ]idevicerestore-doc-1.0.0_git20250914-r0.apk2025-10-06 17:35 3.2K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 22:46 9.3K 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 22:46 2.1K 
[   ]igrep-1.2.0-r0.apk2023-08-19 19:23 1.6M 
[   ]igrep-doc-1.2.0-r0.apk2023-08-19 19:23 3.9K 
[   ]ijq-1.2.0-r1.apk2025-10-15 12:16 1.4M 
[   ]ijq-doc-1.2.0-r1.apk2025-10-15 12:16 3.3K 
[   ]imapfilter-2.8.2-r0.apk2023-12-31 19:40 40K 
[   ]imapfilter-doc-2.8.2-r0.apk2023-12-31 19:40 13K 
[   ]imediff-2.6-r1.apk2024-04-30 01:06 41K 
[   ]imediff-doc-2.6-r1.apk2024-04-30 01:06 6.2K 
[   ]imediff-pyc-2.6-r1.apk2024-04-30 01:06 43K 
[   ]imgdiff-1.0.2-r30.apk2025-10-15 12:16 1.0M 
[   ]imgdiff-doc-1.0.2-r30.apk2025-10-15 12:16 2.0K 
[   ]imrsh-0_git20210320-r1.apk2022-10-25 13:04 7.5K 
[   ]imrsh-dbg-0_git20210320-r1.apk2022-10-25 13:04 20K 
[   ]infnoise-0.3.3-r0.apk2025-05-26 19:38 14K 
[   ]infnoise-doc-0.3.3-r0.apk2025-05-26 19:38 3.9K 
[   ]infnoise-openrc-0.3.3-r0.apk2025-05-26 19:38 1.5K 
[   ]initify-0_git20171210-r1.apk2022-10-25 13:04 3.0K 
[   ]innernet-1.6.1-r0.apk2024-03-03 07:17 2.7M 
[   ]innernet-bash-completion-1.6.1-r0.apk2024-03-03 07:17 3.6K 
[   ]innernet-doc-1.6.1-r0.apk2024-03-03 07:17 8.8K 
[   ]innernet-fish-completion-1.6.1-r0.apk2024-03-03 07:17 4.3K 
[   ]innernet-openrc-1.6.1-r0.apk2024-03-03 07:17 2.0K 
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-03-03 07:17 5.2K 
[   ]interception-tools-0.6.8-r3.apk2025-10-15 12:16 101K 
[   ]interception-tools-openrc-0.6.8-r3.apk2025-10-15 12:16 1.4K 
[   ]invidtui-0.4.6-r9.apk2025-10-15 12:16 4.0M 
[   ]ip2location-8.6.1-r0.apk2023-06-07 17:29 23K 
[   ]ip2location-dev-8.6.1-r0.apk2023-06-07 17:29 20K 
[   ]ip2location-doc-8.6.1-r0.apk2023-06-07 17:29 2.4K 
[   ]ipp-usb-0.9.30-r4.apk2025-10-15 12:16 2.4M 
[   ]ipp-usb-doc-0.9.30-r4.apk2025-10-15 12:16 8.6K 
[   ]ipp-usb-openrc-0.9.30-r4.apk2025-10-15 12:16 1.5K 
[   ]iprange-1.0.4-r1.apk2022-10-25 13:04 19K 
[   ]iprange-doc-1.0.4-r1.apk2022-10-25 13:04 4.3K 
[   ]irccd-4.0.3-r0.apk2023-07-30 12:12 269K 
[   ]irccd-dev-4.0.3-r0.apk2023-07-30 12:12 9.4K 
[   ]irccd-doc-4.0.3-r0.apk2023-07-30 12:12 80K 
[   ]irccd-openrc-4.0.3-r0.apk2023-07-30 12:12 1.6K 
[   ]ircd-hybrid-8.2.47-r0.apk2025-04-07 08:32 339K 
[   ]ircd-hybrid-doc-8.2.47-r0.apk2025-04-07 08:32 3.4K 
[   ]ircdog-0.5.4-r9.apk2025-10-15 12:16 2.4M 
[   ]isoinfo-0_git20131217-r1.apk2022-10-25 13:04 5.6K 
[   ]isomd5sum-1.2.5-r0.apk2025-07-16 12:25 18K 
[   ]isomd5sum-doc-1.2.5-r0.apk2025-07-16 12:25 2.7K 
[   ]it87-src-1_p20240609-r0.apk2024-06-27 13:58 29K 
[   ]jack_capture-0.9.73_git20210429-r2.apk2022-07-04 14:24 30K 
[   ]jackal-0.64.0-r19.apk2025-10-15 12:16 12M 
[   ]jackal-openrc-0.64.0-r19.apk2025-10-15 12:16 1.6K 
[   ]jackdaw-0.3.1-r2.apk2025-05-29 12:38 2.0M 
[   ]jackdaw-pyc-0.3.1-r2.apk2025-05-29 12:38 364K 
[   ]jalv-1.6.8-r1.apk2023-07-30 12:12 51K 
[   ]jalv-doc-1.6.8-r1.apk2023-07-30 12:12 2.9K 
[   ]jalv-gtk-1.6.8-r1.apk2023-07-30 12:12 33K 
[   ]jaq-2.3.0-r0.apk2025-07-20 11:04 784K 
[   ]jaq-doc-2.3.0-r0.apk2025-07-20 11:04 2.0K 
[   ]java-asmtools-8.0.09-r0.apk2024-06-04 07:45 574K 
[   ]java-asmtools-doc-8.0.09-r0.apk2024-06-04 07:45 6.6K 
[   ]jbigkit-2.1-r2.apk2022-10-25 13:04 62K 
[   ]jbigkit-dev-2.1-r2.apk2022-10-25 13:04 48K 
[   ]jbigkit-doc-2.1-r2.apk2022-10-25 13:04 7.1K 
[   ]jdebp-redo-1.4-r1.apk2022-06-11 18:38 91K 
[   ]jdebp-redo-doc-1.4-r1.apk2022-06-11 18:38 12K 
[   ]jdupes-1.28.0-r0.apk2024-08-07 01:50 28K 
[   ]jdupes-doc-1.28.0-r0.apk2024-08-07 01:50 8.7K 
[   ]jedi-language-server-0.45.1-r0.apk2025-04-28 23:05 31K 
[   ]jedi-language-server-pyc-0.45.1-r0.apk2025-04-28 23:05 49K 
[   ]jfrog-cli-2.45.0-r16.apk2025-10-15 12:16 9.1M 
[   ]jhead-3.08-r0.apk2023-07-23 13:59 34K 
[   ]jhead-doc-3.08-r0.apk2023-07-23 13:59 7.6K 
[   ]jotdown-0.7.0-r0.apk2025-03-11 20:29 223K 
[   ]jsmn-1.1.0-r2.apk2024-01-19 17:04 4.5K 
[   ]json2tsv-1.2-r0.apk2024-08-07 01:50 6.3K 
[   ]json2tsv-doc-1.2-r0.apk2024-08-07 01:50 5.0K 
[   ]json2tsv-jaq-1.2-r0.apk2024-08-07 01:50 1.6K 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-08-07 01:50 2.1K 
[   ]jsonnet-bundler-0.6.0-r9.apk2025-10-15 12:16 3.2M 
[   ]jsonnet-language-server-0.15.0-r8.apk2025-10-15 12:16 4.2M 
[   ]junit2html-31.0.2-r0.apk2024-08-07 01:50 16K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-08-07 01:50 23K 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 19:05 800K 
[   ]k2-0_git20220807-r1.apk2023-08-19 19:23 106K 
[   ]k3sup-0.13.6-r9.apk2025-10-15 12:16 2.6M 
[   ]k3sup-bash-completion-0.13.6-r9.apk2025-10-15 12:16 4.8K 
[   ]k3sup-fish-completion-0.13.6-r9.apk2025-10-15 12:16 4.0K 
[   ]k3sup-zsh-completion-0.13.6-r9.apk2025-10-15 12:16 3.7K 
[   ]kabmat-2.7.0-r0.apk2023-05-07 08:41 58K 
[   ]kabmat-doc-2.7.0-r0.apk2023-05-07 08:41 3.3K 
[   ]kanidm-1.7.4-r0.apk2025-10-15 12:16 1.0K 
[   ]kanidm-bash-completion-1.7.4-r0.apk2025-10-15 12:16 24K 
[   ]kanidm-clients-1.7.4-r0.apk2025-10-15 12:16 3.6M 
[   ]kanidm-openrc-1.7.4-r0.apk2025-10-15 12:16 1.8K 
[   ]kanidm-server-1.7.4-r0.apk2025-10-15 12:16 15M 
[   ]kanidm-unixd-clients-1.7.4-r0.apk2025-10-15 12:16 9.6M 
[   ]kanidm-zsh-completion-1.7.4-r0.apk2025-10-15 12:16 35K 
[   ]kannel-1.5.0-r11.apk2023-05-01 21:45 5.7M 
[   ]kannel-dev-1.5.0-r11.apk2023-05-01 21:45 1.5M 
[   ]kannel-doc-1.5.0-r11.apk2023-05-01 21:45 5.9K 
[   ]kapow-0.7.1-r17.apk2025-10-15 12:16 3.5M 
[   ]katana-1.2.2-r2.apk2025-10-15 12:16 14M 
[   ]katana-doc-1.2.2-r2.apk2025-10-15 12:16 2.0K 
[   ]katarakt-0.2-r1.apk2025-01-30 01:09 90K 
[   ]kcbench-0.9.12-r2.apk2025-10-04 05:28 37K 
[   ]kcbench-doc-0.9.12-r2.apk2025-10-04 05:28 20K 
[   ]kdiskmark-3.2.0-r0.apk2025-07-01 13:33 167K 
[   ]kdiskmark-lang-3.2.0-r0.apk2025-07-01 13:33 32K 
[   ]kerberoast-0.2.0-r2.apk2025-05-29 12:38 9.1K 
[   ]kerberoast-pyc-0.2.0-r2.apk2025-05-29 12:38 15K 
[   ]kew-3.6.4-r0.apk2025-10-21 05:35 538K 
[   ]kew-doc-3.6.4-r0.apk2025-10-21 05:35 3.4K 
[   ]keybase-client-6.2.8-r14.apk2025-10-15 12:16 18M 
[   ]keydb-6.3.4-r0.apk2024-05-26 12:29 1.1M 
[   ]keydb-benchmark-6.3.4-r0.apk2024-05-26 12:29 426K 
[   ]keydb-cli-6.3.4-r0.apk2024-05-26 12:29 389K 
[   ]keydb-openrc-6.3.4-r0.apk2024-05-26 12:29 2.4K 
[   ]kfc-0.1.4-r0.apk2023-06-03 02:29 57K 
[   ]kgraphviewer-2.5.0-r0.apk2024-05-08 17:47 1.3M 
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-05-08 17:47 6.0K 
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-05-08 17:47 230K 
[   ]khinsider-2.0.7-r24.apk2025-10-15 12:16 3.4M 
[   ]khronos-4.0.1-r0.apk2023-12-14 16:55 53K 
[   ]khronos-lang-4.0.1-r0.apk2023-12-14 16:55 25K 
[   ]kine-0.10.1-r17.apk2025-10-15 12:16 7.7M 
[   ]kine-doc-0.10.1-r17.apk2025-10-15 12:16 4.9K 
[   ]kirc-0.3.3-r0.apk2025-02-22 11:10 15K 
[   ]kirc-doc-0.3.3-r0.apk2025-02-22 11:10 2.5K 
[   ]kismet-0.202509.1-r0.apk2025-10-15 12:16 12M 
[   ]kismet-linux-bluetooth-0.202509.1-r0.apk2025-10-15 12:16 48K 
[   ]kismet-linux-wifi-0.202509.1-r0.apk2025-10-15 12:16 67K 
[   ]kismet-logtools-0.202509.1-r0.apk2025-10-15 12:16 1.1M 
[   ]kismet-nrf-51822-0.202509.1-r0.apk2025-10-15 12:16 46K 
[   ]kismet-nxp-kw41z-0.202509.1-r0.apk2025-10-15 12:16 47K 
[   ]kjv-0_git20221103-r0.apk2023-09-25 04:51 1.5M 
[   ]klong-20221212-r0.apk2025-06-07 15:34 336K 
[   ]kmscon-9.1.0-r0.apk2025-07-25 23:42 837K 
[   ]kmscon-doc-9.1.0-r0.apk2025-07-25 23:42 8.1K 
[   ]knative-client-1.18.0-r4.apk2025-10-15 12:16 23M 
[   ]knative-client-bash-completion-1.18.0-r4.apk2025-10-15 12:16 9.9K 
[   ]knative-client-fish-completion-1.18.0-r4.apk2025-10-15 12:16 4.0K 
[   ]knative-client-zsh-completion-1.18.0-r4.apk2025-10-15 12:16 3.8K 
[   ]knxd-0.14.61-r1.apk2024-12-14 22:22 410K 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 22:22 39K 
[   ]ko-0.17.1-r9.apk2025-10-15 12:16 10M 
[   ]ko-bash-completion-0.17.1-r9.apk2025-10-15 12:16 4.8K 
[   ]ko-fish-completion-0.17.1-r9.apk2025-10-15 12:16 4.0K 
[   ]ko-zsh-completion-0.17.1-r9.apk2025-10-15 12:16 3.8K 
[   ]kodaskanna-0.2.2-r0.apk2025-01-20 08:32 52K 
[   ]kodaskanna-lang-0.2.2-r0.apk2025-01-20 08:32 23K 
[   ]komikku-1.85.0-r0.apk2025-08-22 08:26 444K 
[   ]komikku-lang-1.85.0-r0.apk2025-08-22 08:26 284K 
[   ]komikku-pyc-1.85.0-r0.apk2025-08-22 08:26 797K 
[   ]kompose-1.31.2-r14.apk2025-10-15 12:16 7.0M 
[   ]kompose-bash-completion-1.31.2-r14.apk2025-10-15 12:16 5.3K 
[   ]kompose-fish-completion-1.31.2-r14.apk2025-10-15 12:16 4.1K 
[   ]kompose-zsh-completion-1.31.2-r14.apk2025-10-15 12:16 6.5K 
[   ]kondo-0.8-r0.apk2023-12-20 22:50 649K 
[   ]kondo-bash-completion-0.8-r0.apk2023-12-20 22:50 1.8K 
[   ]kondo-fish-completion-0.8-r0.apk2023-12-20 22:50 1.8K 
[   ]kondo-zsh-completion-0.8-r0.apk2023-12-20 22:50 2.2K 
[   ]kontainer-1.0.1-r0.apk2025-06-17 05:38 191K 
[   ]kotlin-language-server-1.3.13-r0.apk2025-04-07 08:32 83M 
[   ]krdp-6.4.5-r0.apk2025-09-26 04:02 189K 
[   ]krdp-lang-6.4.5-r0.apk2025-09-26 04:02 54K 
[   ]krita-plugin-gmic-3.2.4.1-r3.apk2024-03-25 12:20 2.7M 
[   ]kronosnet-1.30-r0.apk2025-05-27 23:08 77K 
[   ]kronosnet-dev-1.30-r0.apk2025-05-27 23:08 3.9M 
[   ]kronosnet-doc-1.30-r0.apk2025-05-27 23:08 105K 
[   ]ktx-4.3.2-r1.apk2025-06-10 03:01 1.2M 
[   ]ktx-dev-4.3.2-r1.apk2025-06-10 03:01 29K 
[   ]ktx-libs-4.3.2-r1.apk2025-06-10 03:01 1.5M 
[   ]kube-no-trouble-0.7.3-r9.apk2025-10-15 12:16 13M 
[   ]kubeconform-0.7.0-r2.apk2025-10-15 12:16 3.6M 
[   ]kubectl-oidc_login-1.34.0-r3.apk2025-10-15 12:16 5.4M 
[   ]kubeone-1.10.0-r4.apk2025-10-15 12:16 26M 
[   ]kubeone-bash-completion-1.10.0-r4.apk2025-10-15 12:16 6.4K 
[   ]kubeone-doc-1.10.0-r4.apk2025-10-15 12:16 20K 
[   ]kubeone-zsh-completion-1.10.0-r4.apk2025-10-15 12:16 3.8K 
[   ]kubepug-1.7.1-r14.apk2025-10-15 12:16 16M 
[   ]kubepug-bash-completion-1.7.1-r14.apk2025-10-15 12:16 4.9K 
[   ]kubepug-fish-completion-1.7.1-r14.apk2025-10-15 12:16 4.1K 
[   ]kubepug-zsh-completion-1.7.1-r14.apk2025-10-15 12:16 3.8K 
[   ]kubeseal-0.32.1-r1.apk2025-10-15 12:16 11M 
[   ]kubeseal-doc-0.32.1-r1.apk2025-10-15 12:16 5.3K 
[   ]kubesplit-0.3.3-r1.apk2024-04-30 01:06 12K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-04-30 01:06 13K 
[   ]lavacli-2.4-r0.apk2025-06-15 05:41 49K 
[   ]lavacli-doc-2.4-r0.apk2025-06-15 05:41 34K 
[   ]lavacli-pyc-2.4-r0.apk2025-06-15 05:41 88K 
[   ]laze-0.1.38-r0.apk2025-07-20 21:00 1.1M 
[   ]laze-bash-completion-0.1.38-r0.apk2025-07-20 21:00 2.9K 
[   ]laze-doc-0.1.38-r0.apk2025-07-20 21:00 3.3K 
[   ]laze-fish-completion-0.1.38-r0.apk2025-07-20 21:00 3.2K 
[   ]laze-zsh-completion-0.1.38-r0.apk2025-07-20 21:00 3.4K 
[   ]lbb-0.10.2-r1.apk2025-10-15 12:16 3.1M 
[   ]lbb-doc-0.10.2-r1.apk2025-10-15 12:16 20K 
[   ]lcalc-2.1.0-r0.apk2025-05-03 09:19 155K 
[   ]lcalc-dev-2.1.0-r0.apk2025-05-03 09:19 51K 
[   ]lcalc-doc-2.1.0-r0.apk2025-05-03 09:19 437K 
[   ]lcalc-libs-2.1.0-r0.apk2025-05-03 09:19 227K 
[   ]ldapdomaindump-0.10.0-r0.apk2025-07-30 21:29 18K 
[   ]ldapdomaindump-pyc-0.10.0-r0.apk2025-07-30 21:29 30K 
[   ]ldc-stage0-1.41.0-r0.apk2025-06-07 18:05 11M 
[   ]ledmon-1.0.0-r0.apk2024-09-30 05:39 82K 
[   ]ledmon-doc-1.0.0-r0.apk2024-09-30 05:39 14K 
[   ]lefthook-1.13.5-r1.apk2025-10-15 12:16 5.7M 
[   ]lefthook-doc-1.13.5-r1.apk2025-10-15 12:16 2.0K 
[   ]legume-1.4.2-r13.apk2025-10-15 12:16 1.5M 
[   ]legume-doc-1.4.2-r13.apk2025-10-15 12:16 12K 
[   ]leptosfmt-0.1.33-r0.apk2025-03-25 23:01 1.0M 
[   ]leptosfmt-doc-0.1.33-r0.apk2025-03-25 23:01 5.8K 
[   ]levmar-dev-2.6-r0.apk2022-04-29 10:40 84K 
[   ]lfm-3.1-r4.apk2024-04-30 01:06 88K 
[   ]lfm-doc-3.1-r4.apk2024-04-30 01:06 2.5K 
[   ]lfm-pyc-3.1-r4.apk2024-04-30 01:06 133K 
[   ]lgogdownloader-3.16-r1.apk2025-03-25 23:01 364K 
[   ]lgogdownloader-doc-3.16-r1.apk2025-03-25 23:01 8.2K 
[   ]libabigail-2.3-r0.apk2023-05-04 07:36 848K 
[   ]libabigail-bash-completion-2.3-r0.apk2023-05-04 07:36 2.7K 
[   ]libabigail-dev-2.3-r0.apk2023-05-04 07:36 2.4M 
[   ]libabigail-doc-2.3-r0.apk2023-05-04 07:36 61K 
[   ]libabigail-tools-2.3-r0.apk2023-05-04 07:36 104K 
[   ]libantlr3c-3.4-r3.apk2023-05-21 12:09 49K 
[   ]libantlr3c-dev-3.4-r3.apk2023-05-21 12:09 58K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 11:09 425K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 11:09 1.6M 
[   ]libaudec-0.3.4-r3.apk2023-07-30 12:12 28K 
[   ]libaudec-dev-0.3.4-r3.apk2023-07-30 12:12 4.0K 
[   ]libaudec-static-0.3.4-r3.apk2023-07-30 12:12 46K 
[   ]libaudec-tools-0.3.4-r3.apk2023-07-30 12:12 28K 
[   ]libb64-2.0.0.1-r0.apk2024-06-16 11:26 4.0K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-06-16 11:26 6.6K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-06-16 11:26 7.8K 
[   ]libbamf-0.5.6-r1.apk2023-12-14 16:55 151K 
[   ]libbamf-dev-0.5.6-r1.apk2023-12-14 16:55 6.2K 
[   ]libbamf-doc-0.5.6-r1.apk2023-12-14 16:55 31K 
[   ]libbloom-2.0-r0.apk2023-06-07 17:29 7.4K 
[   ]libbloom-dev-2.0-r0.apk2023-06-07 17:29 3.2K 
[   ]libbraiding-1.3.1-r0.apk2024-11-17 05:00 85K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-17 05:00 15K 
[   ]libbsoncxx-3.8.0-r0.apk2023-08-19 19:23 45K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2023-08-19 19:23 38K 
[   ]libclc-next-22.0.0_pre20250926-r0.apk2025-09-28 04:37 12M 
[   ]libcli-1.10.7-r0.apk2021-07-27 08:11 25K 
[   ]libcob4-3.2-r0.apk2025-07-28 14:05 214K 
[   ]libcork-0.15.0-r7.apk2023-12-14 16:55 36K 
[   ]libcork-dev-0.15.0-r7.apk2023-12-14 16:55 30K 
[   ]libcork-tools-0.15.0-r7.apk2023-12-14 16:55 4.5K 
[   ]libcorkipset-1.1.1-r4.apk2023-12-14 16:55 13K 
[   ]libcorkipset-dev-1.1.1-r4.apk2023-12-14 16:55 7.8K 
[   ]libcorkipset-tools-1.1.1-r4.apk2023-12-14 16:55 11K 
[   ]libcotp-3.1.1-r0.apk2025-10-04 05:28 7.6K 
[   ]libcotp-dev-3.1.1-r0.apk2025-10-04 05:28 2.3K 
[   ]libctl-4.5.1-r1.apk2023-05-21 12:09 97K 
[   ]libctl-dev-4.5.1-r1.apk2023-05-21 12:09 38K 
[   ]libctl-doc-4.5.1-r1.apk2023-05-21 12:09 2.8K 
[   ]libcyaml-1.4.2-r0.apk2024-08-31 21:49 20K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-08-31 21:49 13K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-08-31 21:49 8.4K 
[   ]libcyaml-static-1.4.2-r0.apk2024-08-31 21:49 43K 
[   ]libdbusaccess-1.0.20-r1.apk2025-08-10 16:56 17K 
[   ]libdbusaccess-dev-1.0.20-r1.apk2025-08-10 16:56 4.7K 
[   ]libdcmtk-3.6.9-r0.apk2025-01-20 05:38 6.5M 
[   ]libdng-0.2.1-r0.apk2024-12-27 23:33 11K 
[   ]libdng-dev-0.2.1-r0.apk2024-12-27 23:33 2.9K 
[   ]libdng-doc-0.2.1-r0.apk2024-12-27 23:33 3.9K 
[   ]libdng-utils-0.2.1-r0.apk2024-12-27 23:33 5.7K 
[   ]libeantic-2.1.0-r1.apk2025-06-13 05:40 76K 
[   ]libeantic-dev-2.1.0-r1.apk2025-06-13 05:40 17K 
[   ]libecap-1.0.1-r1.apk2023-05-21 12:09 13K 
[   ]libecap-dev-1.0.1-r1.apk2023-05-21 12:09 11K 
[   ]libecap-static-1.0.1-r1.apk2023-05-21 12:09 27K 
[   ]libemf2svg-1.1.0-r3.apk2025-10-06 14:28 158K 
[   ]libemf2svg-utils-1.1.0-r3.apk2025-10-06 14:28 16K 
[   ]liberasurecode-1.6.3-r1.apk2023-05-21 12:09 41K 
[   ]liberasurecode-dev-1.6.3-r1.apk2023-05-21 12:09 18K 
[   ]libetebase-0.5.8-r0.apk2025-09-28 08:08 861K 
[   ]libetebase-dev-0.5.8-r0.apk2025-09-28 08:08 11K 
[   ]libettercap-0.8.3.1-r3.apk2024-07-13 03:59 199K 
[   ]libfishsound-1.0.0-r1.apk2021-07-27 08:11 7.9K 
[   ]libfishsound-dev-1.0.0-r1.apk2021-07-27 08:11 103K 
[   ]libfishsound-doc-1.0.0-r1.apk2021-07-27 08:11 75K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-09-28 03:57 102K 
[   ]libfort-0.4.2-r0.apk2021-10-03 06:15 28K 
[   ]libfort-dev-0.4.2-r0.apk2021-10-03 06:15 17K 
[   ]libgdcm-3.2.2-r0.apk2025-10-16 22:05 2.8M 
[   ]libgivaro-4.2.0-r2.apk2023-08-19 19:23 78K 
[   ]libgivaro-dev-4.2.0-r2.apk2023-08-19 19:23 244K 
[   ]libgivaro-static-4.2.0-r2.apk2023-08-19 19:23 168K 
[   ]libglib-testing-0.1.1-r0.apk2025-05-10 01:44 12K 
[   ]libglib-testing-dev-0.1.1-r0.apk2025-05-10 01:44 5.3K 
[   ]libglib-testing-doc-0.1.1-r0.apk2025-05-10 01:44 25K 
[   ]libgrapheme-2.0.2-r0.apk2025-07-23 02:57 25K 
[   ]libgrapheme-dev-2.0.2-r0.apk2025-07-23 02:57 38K 
[   ]libgrapheme-doc-2.0.2-r0.apk2025-07-23 02:57 20K 
[   ]libhomfly-1.02_p6-r1.apk2024-03-29 11:33 15K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-03-29 11:33 28K 
[   ]libhwpwm-0.4.4-r0.apk2023-09-28 18:50 5.1K 
[   ]libhwpwm-dev-0.4.4-r0.apk2023-09-28 18:50 7.5K 
[   ]libhwpwm-doc-0.4.4-r0.apk2023-09-28 18:50 13K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 22:46 16K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 22:46 3.1K 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 22:46 1.9K 
[   ]libigraph-0.10.16-r0.apk2025-06-11 21:20 1.2M 
[   ]libigraph-dev-0.10.16-r0.apk2025-06-11 21:20 91K 
[   ]libiio-0.25-r2.apk2024-08-07 01:50 53K 
[   ]libiio-dev-0.25-r2.apk2024-08-07 01:50 13K 
[   ]libiio-doc-0.25-r2.apk2024-08-07 01:50 18K 
[   ]libiio-pyc-0.25-r2.apk2024-08-07 01:50 21K 
[   ]libiio-tools-0.25-r2.apk2024-08-07 01:50 71K 
[   ]libiml-1.0.5-r3.apk2023-08-19 19:23 63K 
[   ]libiml-dev-1.0.5-r3.apk2023-08-19 19:23 3.7K 
[   ]libiml-static-1.0.5-r3.apk2023-08-19 19:23 115K 
[   ]libinfnoise-0.3.3-r0.apk2025-05-26 19:38 13K 
[   ]libirecovery-1.3.0-r0.apk2025-10-06 17:35 26K 
[   ]libirecovery-dev-1.3.0-r0.apk2025-10-06 17:35 3.9K 
[   ]libirecovery-progs-1.3.0-r0.apk2025-10-06 17:35 7.9K 
[   ]libiscsi-1.19.0-r2.apk2023-05-21 12:09 61K 
[   ]libiscsi-dev-1.19.0-r2.apk2023-05-21 12:09 20K 
[   ]libiscsi-doc-1.19.0-r2.apk2023-05-21 12:09 9.0K 
[   ]libiscsi-static-1.19.0-r2.apk2023-05-21 12:09 134K 
[   ]libiscsi-utils-1.19.0-r2.apk2023-05-21 12:09 85K 
[   ]libjodycode-3.1.1-r0.apk2024-08-07 01:50 7.3K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-08-07 01:50 4.0K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-08-07 01:50 3.4K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-05-08 13:23 160K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-05-08 13:23 19K 
[   ]libm4ri-20240729-r2.apk2025-01-15 18:05 108K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 18:05 31K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 18:05 182K 
[   ]libm4rie-20200125-r5.apk2025-01-15 18:05 163K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 18:05 24K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 18:05 272K 
[   ]libmdbx-0.11.8-r0.apk2022-07-04 14:24 836K 
[   ]libmdbx-dbg-0.11.8-r0.apk2022-07-04 14:24 2.4M 
[   ]libmdbx-dev-0.11.8-r0.apk2022-07-04 14:24 93K 
[   ]libmdbx-doc-0.11.8-r0.apk2022-07-04 14:24 8.9K 
[   ]libmdf-1.0.29-r0.apk2024-09-26 21:32 34K 
[   ]libmdf-dev-1.0.29-r0.apk2024-09-26 21:32 14K 
[   ]libmhash-0.9.9.9-r3.apk2022-10-14 19:59 102K 
[   ]libmhash-dev-0.9.9.9-r3.apk2022-10-14 19:59 125K 
[   ]libmhash-doc-0.9.9.9-r3.apk2022-10-14 19:59 7.9K 
[   ]libmpfi-1.5.4-r2.apk2023-08-19 19:23 36K 
[   ]libmpfi-dev-1.5.4-r2.apk2023-08-19 19:23 5.1K 
[   ]libmpfi-doc-1.5.4-r2.apk2023-08-19 19:23 18K 
[   ]libmpfi-static-1.5.4-r2.apk2023-08-19 19:23 95K 
[   ]libmrss-0.19.2-r1.apk2021-07-27 08:11 18K 
[   ]libmrss-dev-0.19.2-r1.apk2021-07-27 08:11 53K 
[   ]libmustache-0.5.0-r1.apk2022-10-25 13:04 109K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-05-08 13:23 84K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-05-08 13:23 12K 
[   ]libmysofa-1.3.2-r0.apk2023-12-14 16:55 26K 
[   ]libmysofa-dev-1.3.2-r0.apk2023-12-14 16:55 6.8K 
[   ]libmysofa-tools-1.3.2-r0.apk2023-12-14 16:55 1.1M 
[   ]libnest2d-0.4-r7.apk2025-02-06 05:25 1.0K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 05:25 70K 
[   ]libnfcdef-1.0.1-r1.apk2025-08-10 16:56 13K 
[   ]libnfcdef-dev-1.0.1-r1.apk2025-08-10 16:56 5.5K 
[   ]libnih-1.0.3-r7.apk2024-09-16 15:26 108K 
[   ]libnih-dev-1.0.3-r7.apk2024-09-16 15:26 179K 
[   ]libnih-doc-1.0.3-r7.apk2024-09-16 15:26 2.4K 
[   ]libntl-11.5.1-r4.apk2024-08-30 06:28 1.1M 
[   ]libntl-dev-11.5.1-r4.apk2024-08-30 06:28 159K 
[   ]libntl-doc-11.5.1-r4.apk2024-08-30 06:28 373K 
[   ]libntl-static-11.5.1-r4.apk2024-08-30 06:28 3.5M 
[   ]libnxml-0.18.3-r0.apk2021-07-27 08:11 18K 
[   ]libnxml-dev-0.18.3-r0.apk2021-07-27 08:11 42K 
[   ]libofx-0.10.9-r1.apk2023-08-26 16:31 65K 
[   ]libofx-dev-0.10.9-r1.apk2023-08-26 16:31 19K 
[   ]libofx-tools-0.10.9-r1.apk2023-08-26 16:31 105K 
[   ]liboggz-1.1.1-r2.apk2022-10-25 13:04 72K 
[   ]liboggz-dev-1.1.1-r2.apk2022-10-25 13:04 316K 
[   ]liboggz-doc-1.1.1-r2.apk2022-10-25 13:04 134K 
[   ]libqd-2.3.24-r0.apk2024-01-08 23:07 153K 
[   ]libqd-dev-2.3.24-r0.apk2024-01-08 23:07 58K 
[   ]libqd-doc-2.3.24-r0.apk2024-01-08 23:07 182K 
[   ]libqd-static-2.3.24-r0.apk2024-01-08 23:07 490K 
[   ]libqtdbusmock-0.9.1-r2.apk2025-02-17 12:15 68K 
[   ]libqtdbustest-0.4.0-r0.apk2025-09-26 04:02 30K 
[   ]libre-4.1.0-r0.apk2025-10-04 05:28 291K 
[   ]libre-dev-4.1.0-r0.apk2025-10-04 05:28 760K 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2023-06-13 17:35 45K 
[   ]libresprite-1.2-r0.apk2025-04-15 14:08 15M 
[   ]libresprite-doc-1.2-r0.apk2025-04-15 14:08 14K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-09-27 00:36 281K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2022-04-29 10:40 428K 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2022-04-29 10:40 306K 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2022-04-29 10:40 1.8M 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2022-04-29 10:40 429K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-09-23 22:18 652K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-04-30 01:06 277K 
[   ]libretro-cap32-0_git20220419-r0.apk2022-04-29 10:40 290K 
[   ]libretro-crocods-0_git20210314-r1.apk2021-07-27 08:11 258K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-01 05:41 655K 
[   ]libretro-dinothawr-0_git20220401-r0.apk2022-04-29 10:40 123K 
[   ]libretro-fbneo-0_git20220416-r0.apk2022-04-29 10:40 11M 
[   ]libretro-freeintv-0_git20220319-r0.apk2022-04-29 10:40 31K 
[   ]libretro-frodo-0_git20221221-r0.apk2022-04-29 10:40 154K 
[   ]libretro-fuse-0_git20220417-r0.apk2022-04-29 10:40 857K 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2023-05-05 08:59 959K 
[   ]libretro-gme-0_git20240628-r0.apk2024-09-23 21:59 193K 
[   ]libretro-gong-0_git20220319-r0.apk2022-04-29 10:40 8.5K 
[   ]libretro-gw-0_git20220410-r0.apk2022-04-29 10:40 161K 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-09-23 21:59 3.2M 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-09-27 00:33 7.8M 
[   ]libretro-mu-0_git20220317-r0.apk2022-04-29 10:40 150K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-07-12 12:38 459K 
[   ]libretro-nxengine-0_git20220301-r0.apk2022-04-29 10:40 282K 
[   ]libretro-openlara-0_git20210121-r0.apk2022-04-29 10:40 495K 
[   ]libretro-opera-0_git20211214-r0.apk2022-04-29 10:40 161K 
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2022-04-29 10:40 484K 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2022-04-29 10:40 80K 
[   ]libretro-ppsspp-0_git20210516-r15.apk2025-04-12 01:36 2.3M 
[   ]libretro-scummvm-0_git20210325-r0.apk2021-07-27 08:11 19M 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-09-27 00:36 815K 
[   ]libretro-theodore-3.1-r0.apk2022-04-29 10:40 866K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2022-04-29 10:40 355K 
[   ]libretro-xrick-0_git20220331-r0.apk2022-04-29 10:40 109K 
[   ]libsbsms-2.3.0-r0.apk2021-09-07 10:50 105K 
[   ]libsbsms-dev-2.3.0-r0.apk2021-09-07 10:50 164K 
[   ]libsds-2.0.0-r1.apk2021-07-27 08:11 9.1K 
[   ]libsds-dev-2.0.0-r1.apk2021-07-27 08:11 3.8K 
[   ]libsemanage-3.6-r1.apk2024-09-28 15:48 94K 
[   ]libsemanage-dev-3.6-r1.apk2024-09-28 15:48 243K 
[   ]libsemanage-doc-3.6-r1.apk2024-09-28 15:48 23K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 22:22 693K 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 22:22 334K 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 22:22 2.9M 
[   ]libserialport-0.1.1-r1.apk2022-02-07 07:11 18K 
[   ]libserialport-dev-0.1.1-r1.apk2022-02-07 07:11 62K 
[   ]libshadowsocks-libev-3.3.5-r4.apk2024-04-30 01:06 49K 
[   ]libsigrok-0.5.2-r3.apk2024-09-30 12:42 498K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-09-30 12:42 30K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-04-30 01:06 334K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-04-30 01:06 63K 
[   ]libsimpleble-0.10.3-r0.apk2025-07-16 12:25 205K 
[   ]libsimpleble-c-0.10.3-r0.apk2025-07-16 12:25 15K 
[   ]libsimplebluez-0.10.3-r0.apk2025-07-16 12:25 149K 
[   ]libsirocco-2.1.1-r0.apk2025-08-31 19:52 64K 
[   ]libsirocco-dev-2.1.1-r0.apk2025-08-31 19:52 1.9K 
[   ]libspatialindex-2.1.0-r0.apk2025-05-31 10:33 311K 
[   ]libspatialindex-dev-2.1.0-r0.apk2025-05-31 10:33 21K 
[   ]libstirshaken-0_git20240208-r4.apk2025-04-28 19:58 53K 
[   ]libstirshaken-dev-0_git20240208-r4.apk2025-04-28 19:58 137K 
[   ]libstirshaken-tools-0_git20240208-r4.apk2025-04-28 19:58 164K 
[   ]libsymmetrica-3.0.1-r2.apk2023-08-19 19:23 4.4M 
[   ]libsymmetrica-dev-3.0.1-r2.apk2023-08-19 19:23 32K 
[   ]libsymmetrica-static-3.0.1-r2.apk2023-08-19 19:23 12M 
[   ]libtatsu-1.0.5-r0.apk2025-10-06 17:35 16K 
[   ]libtatsu-dev-1.0.5-r0.apk2025-10-06 17:35 37K 
[   ]libtcmu-1.6.0-r6.apk2024-10-13 18:32 37K 
[   ]libtcmu-dev-1.6.0-r6.apk2024-10-13 18:32 1.3K 
[   ]libtins-4.5-r2.apk2025-10-15 22:29 315K 
[   ]libtins-dev-4.5-r2.apk2025-10-15 22:29 138K 
[   ]libtins-doc-4.5-r2.apk2025-10-15 22:29 2.1K 
[   ]libtsm-4.1.0-r0.apk2025-07-08 06:49 26K 
[   ]libtsm-dev-4.1.0-r0.apk2025-07-08 06:49 11K 
[   ]libucl-0.9.0-r0.apk2024-02-02 21:16 54K 
[   ]libucl-dev-0.9.0-r0.apk2024-02-02 21:16 140K 
[   ]libucl-doc-0.9.0-r0.apk2024-02-02 21:16 8.6K 
[   ]libuecc-7-r4.apk2025-03-03 16:07 10K 
[   ]libuecc-dev-7-r4.apk2025-03-03 16:07 4.4K 
[   ]libuninameslist-20230916-r0.apk2023-09-18 04:56 463K 
[   ]libuninameslist-dev-20230916-r0.apk2023-09-18 04:56 3.2K 
[   ]libuninameslist-doc-20230916-r0.apk2023-09-18 04:56 1.8K 
[   ]libupstart-2.0.3-r5.apk2024-09-09 10:26 58K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2021-07-27 08:11 57K 
[   ]libvisio2svg-0.5.5-r3.apk2023-05-01 21:45 14K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2023-05-01 21:45 2.7K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2023-05-01 21:45 115K 
[   ]libvmaf-3.0.0-r0.apk2024-02-05 21:19 329K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-02-05 21:19 272K 
[   ]libvoikko-4.3.2-r1.apk2024-04-30 01:06 124K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-04-30 01:06 9.6K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-04-30 01:06 5.4K 
[   ]libwasmtime-34.0.1-r0.apk2025-07-21 02:31 3.6M 
[   ]libwasmtime-static-34.0.1-r0.apk2025-07-21 02:31 7.0M 
[   ]libwbxml-0.11.8-r0.apk2022-03-19 09:44 63K 
[   ]libwbxml-dev-0.11.8-r0.apk2022-03-19 09:44 9.0K 
[   ]libwbxml-doc-0.11.8-r0.apk2022-03-19 09:44 28K 
[   ]libwhich-1.2.0-r0.apk2022-11-29 06:40 3.6K 
[   ]libwmiclient-1.3.16-r5.apk2024-09-30 19:13 1.2K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-09-30 19:13 1.4K 
[   ]libxml++-5.4.0-r0.apk2025-02-17 12:15 64K 
[   ]libxml++-dev-5.4.0-r0.apk2025-02-17 12:15 29K 
[   ]libxo-1.7.5-r0.apk2025-01-12 23:03 178K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-12 23:03 130K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-12 23:03 63K 
[   ]libzn_poly-0.9.2-r2.apk2023-08-19 19:23 47K 
[   ]libzn_poly-dev-0.9.2-r2.apk2023-08-19 19:23 7.9K 
[   ]libzn_poly-static-0.9.2-r2.apk2023-08-19 19:23 79K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 21:57 171K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 21:57 38K 
[   ]libzvbi-0.2.44-r0.apk2025-03-11 22:14 229K 
[   ]libzvbi-dev-0.2.44-r0.apk2025-03-11 22:14 14K 
[   ]libzvbi-static-0.2.44-r0.apk2025-03-11 22:14 494K 
[   ]licenseheaders-0.8.8-r4.apk2024-08-07 01:50 18K 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-08-07 01:50 18K 
[   ]limnoria-20240828-r0.apk2024-09-04 16:13 1.1M 
[   ]limnoria-doc-20240828-r0.apk2024-09-04 16:13 8.1K 
[   ]limnoria-pyc-20240828-r0.apk2024-09-04 16:13 1.2M 
[   ]linkchecker-10.6.0-r0.apk2025-08-10 20:15 181K 
[   ]linkchecker-doc-10.6.0-r0.apk2025-08-10 20:15 39K 
[   ]linkchecker-pyc-10.6.0-r0.apk2025-08-10 20:15 253K 
[   ]linkquisition-1.6.1-r9.apk2025-10-15 12:16 12M 
[   ]linphone-5.3.38-r0.apk2025-05-15 20:19 9.0M 
[   ]linphone-dev-5.3.38-r0.apk2025-05-15 20:19 245K 
[   ]linphone-libs-5.3.38-r0.apk2025-05-15 20:19 2.9M 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-03-15 05:36 197K 
[   ]linux-eswin-6.16.4-r0.apk2025-09-02 18:28 58M 
[   ]linux-eswin-dev-6.16.4-r0.apk2025-09-02 18:28 15M 
[   ]linux-eswin-doc-6.16.4-r0.apk2025-09-02 18:28 14M 
[   ]linux-jh7100-6.16.4-r0.apk2025-08-30 18:30 15M 
[   ]linux-jh7100-dev-6.16.4-r0.apk2025-08-30 18:30 14M 
[   ]linux-jh7100-doc-6.16.4-r0.apk2025-08-30 18:30 14M 
[   ]linux-p550-6.6.77-r0.apk2025-07-23 15:01 59M 
[   ]linux-p550-dev-6.6.77-r0.apk2025-07-23 15:01 14M 
[   ]linux-p550-doc-6.6.77-r0.apk2025-07-23 15:01 12M 
[   ]linux-sophgo-6.12.7-r0.apk2025-01-01 14:19 90M 
[   ]linux-sophgo-dev-6.12.7-r0.apk2025-01-01 14:19 15M 
[   ]linux-sophgo-doc-6.12.7-r0.apk2025-01-01 14:19 13M 
[   ]linux-spacemit-6.6.53-r1.apk2024-11-16 07:53 21M 
[   ]linux-spacemit-dev-6.6.53-r1.apk2024-11-16 07:53 14M 
[   ]linux-spacemit-doc-6.6.53-r1.apk2024-11-16 07:53 12M 
[   ]linux-starfive-6.17.3-r0.apk2025-10-15 22:29 18M 
[   ]linux-starfive-dev-6.17.3-r0.apk2025-10-15 22:29 13M 
[   ]linux-timemachine-1.3.2-r0.apk2022-11-20 21:09 4.8K 
[   ]linuxkit-1.6.0-r4.apk2025-10-15 12:16 13M 
[   ]linuxkit-doc-1.6.0-r4.apk2025-10-15 12:16 10K 
[   ]linuxptp-4.4-r0.apk2024-11-17 18:01 1.0K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-17 18:01 38K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-17 18:01 4.1K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-17 18:01 35K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-17 18:01 38K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-17 18:01 9.6K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-17 18:01 39K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-17 18:01 82K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-17 18:01 16K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-17 18:01 38K 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-17 18:01 21K 
[   ]litehtml-0.9-r2.apk2025-02-17 12:15 316K 
[   ]litehtml-dev-0.9-r2.apk2025-02-17 12:15 41K 
[   ]litehtml-static-0.9-r2.apk2025-02-17 12:15 1.0M 
[   ]litterbox-1.9-r2.apk2025-09-26 04:02 33K 
[   ]litterbox-doc-1.9-r2.apk2025-09-26 04:02 6.9K 
[   ]lizardfs-3.13.0-r17.apk2025-06-19 14:40 110K 
[   ]lizardfs-bash-completion-3.13.0-r17.apk2025-06-19 14:40 1.6K 
[   ]lizardfs-cgi-3.13.0-r17.apk2025-06-19 14:40 31K 
[   ]lizardfs-cgiserv-3.13.0-r17.apk2025-06-19 14:40 7.1K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r17.apk2025-06-19 14:40 1.7K 
[   ]lizardfs-chunkserver-3.13.0-r17.apk2025-06-19 14:40 328K 
[   ]lizardfs-chunkserver-openrc-3.13.0-r17.apk2025-06-19 14:40 1.4K 
[   ]lizardfs-client-3.13.0-r17.apk2025-06-19 14:40 1.1M 
[   ]lizardfs-doc-3.13.0-r17.apk2025-06-19 14:40 11K 
[   ]lizardfs-master-3.13.0-r17.apk2025-06-19 14:40 886K 
[   ]lizardfs-master-openrc-3.13.0-r17.apk2025-06-19 14:40 1.4K 
[   ]lizardfs-metalogger-3.13.0-r17.apk2025-06-19 14:40 133K 
[   ]lizardfs-metalogger-openrc-3.13.0-r17.apk2025-06-19 14:40 1.4K 
[   ]lld-next-22.0.0_pre20250926-r0.apk2025-09-28 04:37 7.8K 
[   ]lld-next-dev-22.0.0_pre20250926-r0.apk2025-09-28 04:37 18K 
[   ]lld-next-libs-22.0.0_pre20250926-r0.apk2025-09-28 04:37 2.0M 
[   ]lldb-next-22.0.0_pre20250926-r0.apk2025-09-28 04:37 6.6M 
[   ]lldb-next-dev-22.0.0_pre20250926-r0.apk2025-09-28 04:37 789K 
[   ]lldb-next-pyc-22.0.0_pre20250926-r0.apk2025-09-28 04:37 311K 
[   ]llmnrd-0.7-r1.apk2022-10-25 13:04 14K 
[   ]llmnrd-doc-0.7-r1.apk2022-10-25 13:04 2.8K 
[   ]llmnrd-openrc-0.7-r1.apk2022-10-25 13:04 1.6K 
[   ]llvm-next-22.0.0_pre20250926-r0.apk2025-09-28 04:37 22M 
[   ]llvm-next-dev-22.0.0_pre20250926-r0.apk2025-09-28 04:37 9.1M 
[   ]llvm-next-gtest-22.0.0_pre20250926-r0.apk2025-09-28 04:37 582K 
[   ]llvm-next-libc++-22.0.0_pre20250926-r0.apk2025-09-28 04:37 380K 
[   ]llvm-next-libc++-dev-22.0.0_pre20250926-r0.apk2025-09-28 04:37 1.8M 
[   ]llvm-next-libc++-static-22.0.0_pre20250926-r0.apk2025-09-28 04:37 1.0M 
[   ]llvm-next-libgcc-22.0.0_pre20250926-r0.apk2025-09-28 04:37 55K 
[   ]llvm-next-libgcc-dev-22.0.0_pre20250926-r0.apk2025-09-28 04:37 2.2K 
[   ]llvm-next-libs-22.0.0_pre20250926-r0.apk2025-09-28 04:37 32M 
[   ]llvm-next-libunwind-22.0.0_pre20250926-r0.apk2025-09-28 04:37 19K 
[   ]llvm-next-libunwind-dev-22.0.0_pre20250926-r0.apk2025-09-28 04:37 20K 
[   ]llvm-next-libunwind-static-22.0.0_pre20250926-r0.apk2025-09-28 04:37 43K 
[   ]llvm-next-linker-tools-22.0.0_pre20250926-r0.apk2025-09-28 04:37 1.3M 
[   ]llvm-next-lit-22.0.0_pre20250926-r0.apk2025-09-28 04:37 96K 
[   ]llvm-next-lit-pyc-22.0.0_pre20250926-r0.apk2025-09-28 04:37 162K 
[   ]llvm-next-offload-22.0.0_pre20250926-r0.apk2025-09-28 04:37 168K 
[   ]llvm-next-offload-dev-22.0.0_pre20250926-r0.apk2025-09-28 04:37 382K 
[   ]llvm-next-openmp-22.0.0_pre20250926-r0.apk2025-09-28 04:37 524K 
[   ]llvm-next-openmp-dev-22.0.0_pre20250926-r0.apk2025-09-28 04:37 138K 
[   ]llvm-next-polly-22.0.0_pre20250926-r0.apk2025-09-28 04:37 3.6M 
[   ]llvm-next-runtime-22.0.0_pre20250926-r0.apk2025-09-28 04:37 514K 
[   ]llvm-next-static-22.0.0_pre20250926-r0.apk2025-09-28 04:37 86M 
[   ]llvm-next-test-utils-22.0.0_pre20250926-r0.apk2025-09-28 04:37 599K 
[   ]lockrun-1.1.3-r1.apk2022-10-25 13:04 4.6K 
[   ]log4cpp-1.1.4-r1.apk2023-05-21 12:09 70K 
[   ]log4cpp-dev-1.1.4-r1.apk2023-05-21 12:09 39K 
[   ]log4cxx-1.1.0-r3.apk2025-02-17 12:15 528K 
[   ]log4cxx-dev-1.1.0-r3.apk2025-02-17 12:15 135K 
[   ]logc-0.5.0-r1.apk2025-06-13 14:29 7.7K 
[   ]logc-argp-0.5.0-r1.apk2025-06-13 14:29 14K 
[   ]logc-config-0.5.0-r1.apk2025-06-13 14:29 4.6K 
[   ]logc-czmq-0.1.0-r0.apk2023-12-14 16:55 3.7K 
[   ]logc-dev-0.5.0-r1.apk2025-06-13 14:29 8.3K 
[   ]logc-libevent-0.1.0-r0.apk2023-12-14 16:55 3.1K 
[   ]logc-libs-0.1.0-r0.apk2023-12-14 16:55 1.2K 
[   ]logc-libs-dev-0.1.0-r0.apk2023-12-14 16:55 6.1K 
[   ]logtop-0.7-r1.apk2025-08-10 16:56 13K 
[   ]logtop-doc-0.7-r1.apk2025-08-10 16:56 2.6K 
[   ]logtop-libs-0.7-r1.apk2025-08-10 16:56 14K 
[   ]logwatch-7.10-r1.apk2024-05-07 08:33 483K 
[   ]logwatch-doc-7.10-r1.apk2024-05-07 08:33 38K 
[   ]lol-html-1.1.1-r1.apk2024-07-02 12:37 435K 
[   ]lol-html-dev-1.1.1-r1.apk2024-07-02 12:37 6.3K 
[   ]lolcat-1.4-r0.apk2023-06-15 03:54 8.8K 
[   ]lomiri-action-api-1.2.0-r0.apk2025-04-12 01:36 80K 
[   ]lomiri-action-api-dev-1.2.0-r0.apk2025-04-12 01:36 4.7K 
[   ]lomiri-api-0.2.2-r1.apk2025-02-17 12:15 33K 
[   ]lomiri-api-dev-0.2.2-r1.apk2025-02-17 12:15 32K 
[   ]lomiri-app-launch-0.1.12-r3.apk2025-09-29 10:58 337K 
[   ]lomiri-app-launch-dev-0.1.12-r3.apk2025-09-29 10:58 20K 
[   ]lomiri-calculator-app-4.1.0-r0.apk2025-08-20 01:25 381K 
[   ]lomiri-calculator-app-lang-4.1.0-r0.apk2025-08-20 01:25 41K 
[   ]lomiri-clock-app-4.1.1-r0.apk2025-05-10 14:49 224K 
[   ]lomiri-clock-app-lang-4.1.1-r0.apk2025-05-10 14:49 456K 
[   ]lomiri-content-hub-2.1.0-r0.apk2025-04-15 14:08 284K 
[   ]lomiri-content-hub-dev-2.1.0-r0.apk2025-04-15 14:08 11K 
[   ]lomiri-content-hub-doc-2.1.0-r0.apk2025-04-15 14:08 1.4M 
[   ]lomiri-content-hub-lang-2.1.0-r0.apk2025-04-15 14:08 46K 
[   ]lomiri-download-manager-0.2.2-r0.apk2025-09-28 04:37 584K 
[   ]lomiri-download-manager-dev-0.2.2-r0.apk2025-09-28 04:37 17K 
[   ]lomiri-download-manager-doc-0.2.2-r0.apk2025-09-28 04:37 3.4M 
[   ]lomiri-download-manager-lang-0.2.2-r0.apk2025-09-28 04:37 29K 
[   ]lomiri-filemanager-app-1.1.4-r0.apk2025-08-20 01:25 338K 
[   ]lomiri-filemanager-app-lang-1.1.4-r0.apk2025-08-20 01:25 179K 
[   ]lomiri-gallery-app-3.0.2-r2.apk2025-08-19 23:17 3.7M 
[   ]lomiri-gallery-app-lang-3.0.2-r2.apk2025-08-19 23:17 106K 
[   ]lomiri-history-service-0.6-r15.apk2025-10-15 12:16 353K 
[   ]lomiri-history-service-dev-0.6-r15.apk2025-10-15 12:16 11K 
[   ]lomiri-indicator-location-25.4.22-r0.apk2025-05-19 14:07 29K 
[   ]lomiri-indicator-location-lang-25.4.22-r0.apk2025-05-19 14:07 27K 
[   ]lomiri-libusermetrics-1.3.3-r1.apk2025-02-17 12:15 164K 
[   ]lomiri-libusermetrics-dev-1.3.3-r1.apk2025-02-17 12:15 7.5K 
[   ]lomiri-libusermetrics-doc-1.3.3-r1.apk2025-02-17 12:15 227K 
[   ]lomiri-libusermetrics-lang-1.3.3-r1.apk2025-02-17 12:15 43K 
[   ]lomiri-location-service-3.3.0-r4.apk2025-06-26 21:51 2.4M 
[   ]lomiri-location-service-dev-3.3.0-r4.apk2025-06-26 21:51 30K 
[   ]lomiri-location-service-doc-3.3.0-r4.apk2025-06-26 21:51 2.6K 
[   ]lomiri-location-service-lang-3.3.0-r4.apk2025-06-26 21:51 26K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 15:31 97K 
[   ]lomiri-schemas-0.1.9-r0.apk2025-10-01 17:04 10K 
[   ]lomiri-settings-components-1.1.2-r0.apk2025-01-10 15:31 219K 
[   ]lomiri-settings-components-lang-1.1.2-r0.apk2025-01-10 15:31 98K 
[   ]lomiri-sounds-25.01-r0.apk2025-08-20 01:25 18M 
[   ]lomiri-telephony-service-0.6.1-r10.apk2025-10-15 12:16 1.0M 
[   ]lomiri-telephony-service-lang-0.6.1-r10.apk2025-10-15 12:16 107K 
[   ]lomiri-terminal-app-2.0.5-r0.apk2025-05-10 14:49 65K 
[   ]lomiri-terminal-app-doc-2.0.5-r0.apk2025-05-10 14:49 2.4K 
[   ]lomiri-terminal-app-lang-2.0.5-r0.apk2025-05-10 14:49 91K 
[   ]lomiri-thumbnailer-3.0.4-r3.apk2025-09-26 04:02 221K 
[   ]lomiri-thumbnailer-dev-3.0.4-r3.apk2025-09-26 04:02 4.8K 
[   ]lomiri-thumbnailer-doc-3.0.4-r3.apk2025-09-26 04:02 1.3K 
[   ]lomiri-trust-store-2.0.2-r12.apk2025-09-29 10:58 1.0M 
[   ]lomiri-trust-store-dev-2.0.2-r12.apk2025-09-29 10:58 8.9K 
[   ]lomiri-trust-store-lang-2.0.2-r12.apk2025-09-29 10:58 27K 
[   ]lomiri-ui-extras-0.7.0-r0.apk2025-04-12 01:36 258K 
[   ]lomiri-ui-extras-lang-0.7.0-r0.apk2025-04-12 01:36 51K 
[   ]lomiri-ui-toolkit-1.3.5110-r3.apk2025-09-29 10:58 1.3M 
[   ]lomiri-ui-toolkit-dev-1.3.5110-r3.apk2025-09-29 10:58 168K 
[   ]lomiri-ui-toolkit-lang-1.3.5110-r3.apk2025-09-29 10:58 96K 
[   ]lomiri-url-dispatcher-0.1.4-r0.apk2025-05-10 14:49 37K 
[   ]lomiri-url-dispatcher-dev-0.1.4-r0.apk2025-05-10 14:49 3.1K 
[   ]lomiri-url-dispatcher-lang-0.1.4-r0.apk2025-05-10 14:49 26K 
[   ]lotide-0.15.0-r0.apk2024-03-29 02:20 3.4M 
[   ]lotide-openrc-0.15.0-r0.apk2024-03-29 02:20 2.9K 
[   ]lout-3.42.2-r0.apk2023-06-12 21:36 1.4M 
[   ]lout-doc-3.42.2-r0.apk2023-06-12 21:36 452K 
[   ]lrcalc-2.1-r1.apk2023-05-21 12:09 11K 
[   ]lrcalc-dev-2.1-r1.apk2023-05-21 12:09 11K 
[   ]lrcalc-libs-2.1-r1.apk2023-05-21 12:09 26K 
[   ]lsdvd-0.17-r0.apk2023-01-31 21:12 13K 
[   ]lsdvd-doc-0.17-r0.apk2023-01-31 21:12 2.2K 
[   ]lshell-0.9.18-r12.apk2025-09-26 04:02 36K 
[   ]lshell-doc-0.9.18-r12.apk2025-09-26 04:02 25K 
[   ]lshell-pyc-0.9.18-r12.apk2025-09-26 04:02 35K 
[   ]lsix-1.8.2-r0.apk2023-12-23 08:03 6.3K 
[   ]lsmash-2.14.5-r2.apk2022-10-25 13:04 255K 
[   ]lsmash-dev-2.14.5-r2.apk2022-10-25 13:04 574K 
[   ]lua-editorconfig-0.3.0-r0.apk2021-07-27 08:11 1.2K 
[   ]lua-fn-0.1.0-r0.apk2022-10-07 06:49 3.1K 
[   ]lua-inet-0.2.0-r1.apk2024-07-10 03:12 8.9K 
[   ]lua-lanes-3.16.0-r1.apk2024-04-04 11:36 1.2K 
[   ]lua-lcurses-9.0.0-r0.apk2021-07-27 08:11 1.2K 
[   ]lua-libmodbus-0.6.1-r0.apk2021-07-27 08:11 1.2K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2021-07-27 08:11 19K 
[   ]lua-linenoise-0.9-r1.apk2021-07-27 08:11 1.2K 
[   ]lua-luastatic-0.0.12-r1.apk2022-10-25 13:04 1.2K 
[   ]lua-lupa-1.0-r0.apk2022-01-14 09:21 20K 
[   ]lua-lut-1.2.1-r0.apk2021-07-27 08:11 89K 
[   ]lua-psl-0.3-r0.apk2021-07-27 08:11 1.1K 
[   ]lua-xml-1.1.3-r2.apk2024-09-30 13:03 1.2K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-04-04 11:36 58K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2021-07-27 08:11 22K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2021-07-27 08:11 8.3K 
[   ]lua5.1-linenoise-0.9-r1.apk2021-07-27 08:11 16K 
[   ]lua5.1-luacov-0.15.0-r0.apk2023-06-08 22:46 23K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2022-06-02 17:23 413K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2022-10-25 13:04 66K 
[   ]lua5.1-psl-0.3-r0.apk2021-07-27 08:11 5.4K 
[   ]lua5.1-ubus-2025.10.04-r0.apk2025-10-06 21:43 9.2K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-09-30 13:03 23K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2021-07-27 08:11 3.9K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-04-04 11:36 58K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2021-07-27 08:11 8.3K 
[   ]lua5.2-linenoise-0.9-r1.apk2021-07-27 08:11 16K 
[   ]lua5.2-luacov-0.15.0-r0.apk2023-06-08 22:46 23K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2022-06-02 17:23 413K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2022-10-25 13:04 8.1K 
[   ]lua5.2-psl-0.3-r0.apk2021-07-27 08:11 5.3K 
[   ]lua5.2-ubus-2025.10.04-r0.apk2025-10-06 21:43 9.2K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-09-30 13:03 23K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2021-07-27 08:11 3.9K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-04-04 11:36 58K 
[   ]lua5.3-linenoise-0.9-r1.apk2021-07-27 08:11 16K 
[   ]lua5.3-luacov-0.15.0-r0.apk2023-06-08 22:46 23K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2022-06-02 17:23 413K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2022-10-25 13:04 8.1K 
[   ]lua5.3-psl-0.3-r0.apk2021-07-27 08:11 5.3K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2021-07-27 08:11 3.9K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-04-04 11:36 58K 
[   ]lua5.4-linenoise-0.9-r1.apk2021-07-27 08:11 16K 
[   ]lua5.4-luacov-0.15.0-r0.apk2023-06-08 22:46 23K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2022-10-25 13:04 8.2K 
[   ]luacov-0.15.0-r0.apk2023-06-08 22:46 1.2K 
[   ]luacov-html-1.0.0-r1.apk2022-06-02 17:23 1.2K 
[   ]luapak-0.1.0_beta5-r0.apk2021-07-27 08:11 35K 
[   ]luksmeta-9-r0.apk2022-06-17 02:36 12K 
[   ]luksmeta-dev-9-r0.apk2022-06-17 02:36 3.1K 
[   ]luksmeta-doc-9-r0.apk2022-06-17 02:36 5.5K 
[   ]lumina-desktop-1.6.2-r0.apk2022-07-05 19:19 1.2K 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2022-07-05 19:19 171K 
[   ]lumina-desktop-core-1.6.2-r0.apk2022-07-05 19:19 9.0M 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2022-07-05 19:19 839K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2022-07-05 19:19 12K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2022-07-05 19:19 164K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2022-07-05 19:19 404K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2022-07-05 19:19 204K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2022-07-05 19:19 129K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2022-07-05 19:19 172K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2022-07-05 19:19 99K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2022-07-05 19:19 198K 
[   ]lutgen-1.0.0-r0.apk2025-07-21 20:52 1.9M 
[   ]lutgen-bash-completion-1.0.0-r0.apk2025-07-21 20:52 1.5K 
[   ]lutgen-doc-1.0.0-r0.apk2025-07-21 20:52 4.2K 
[   ]lutgen-fish-completion-1.0.0-r0.apk2025-07-21 20:52 1.5K 
[   ]lutgen-zsh-completion-1.0.0-r0.apk2025-07-21 20:52 1.5K 
[   ]lutris-0.5.19-r1.apk2025-09-26 04:02 819K 
[   ]lutris-doc-0.5.19-r1.apk2025-09-26 04:02 2.1K 
[   ]lutris-lang-0.5.19-r1.apk2025-09-26 04:02 810K 
[   ]lutris-pyc-0.5.19-r1.apk2025-09-26 04:02 1.1M 
[   ]lv_font_conv-1.5.3-r0.apk2025-08-20 01:25 1.1M 
[   ]lv_font_conv-doc-1.5.3-r0.apk2025-08-20 01:25 4.7K 
[   ]lxappearance-0.6.3-r3.apk2023-05-29 12:11 29K 
[   ]lxappearance-dev-0.6.3-r3.apk2023-05-29 12:11 3.0K 
[   ]lxappearance-doc-0.6.3-r3.apk2023-05-29 12:11 2.4K 
[   ]lxappearance-lang-0.6.3-r3.apk2023-05-29 12:11 80K 
[   ]lxd-5.0.3-r15.apk2025-10-15 12:16 15M 
[   ]lxd-bash-completion-5.0.3-r15.apk2025-10-15 12:16 4.8K 
[   ]lxd-client-5.0.3-r15.apk2025-10-15 12:16 6.3M 
[   ]lxd-feature-5.20-r15.apk2025-10-15 12:16 69M 
[   ]lxd-feature-bash-completion-5.20-r15.apk2025-10-15 12:16 4.9K 
[   ]lxd-feature-doc-5.20-r15.apk2025-10-15 12:16 1.4K 
[   ]lxd-feature-openrc-5.20-r15.apk2025-10-15 12:16 2.2K 
[   ]lxd-feature-scripts-5.20-r15.apk2025-10-15 12:16 1.9K 
[   ]lxd-openrc-5.0.3-r15.apk2025-10-15 12:16 2.3K 
[   ]lxd-scripts-5.0.3-r15.apk2025-10-15 12:16 26M 
[   ]lxd-vm-5.0.3-r15.apk2025-10-15 12:16 1.1K 
[   ]lxqt-wayland-session-0.2.1-r0.apk2025-07-31 21:24 336K 
[   ]lxqt-wayland-session-doc-0.2.1-r0.apk2025-07-31 21:24 29K 
[   ]lynis-3.1.4-r0.apk2025-07-29 09:09 275K 
[   ]lynis-bash-completion-3.1.4-r0.apk2025-07-29 09:09 2.7K 
[   ]lynis-doc-3.1.4-r0.apk2025-07-29 09:09 50K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 17:12 38K 
[   ]lzfse-1.0-r0.apk2022-10-07 06:49 20K 
[   ]lzfse-dev-1.0-r0.apk2022-10-07 06:49 3.2K 
[   ]m2r2-0.3.3-r3.apk2024-08-30 21:44 12K 
[   ]m2r2-pyc-0.3.3-r3.apk2024-08-30 21:44 15K 
[   ]macchina-6.4.0-r0.apk2025-07-23 02:57 1.0M 
[   ]macchina-doc-6.4.0-r0.apk2025-07-23 02:57 5.4K 
[   ]mado-0.3.0-r0.apk2025-09-28 04:37 1.4M 
[   ]mado-bash-completion-0.3.0-r0.apk2025-09-28 04:37 2.0K 
[   ]mado-doc-0.3.0-r0.apk2025-09-28 04:37 8.9K 
[   ]mado-fish-completion-0.3.0-r0.apk2025-09-28 04:37 2.1K 
[   ]mado-zsh-completion-0.3.0-r0.apk2025-09-28 04:37 2.3K 
[   ]mage-1.13.0-r23.apk2025-05-15 20:19 1.5M 
[   ]magpie-0.9.4-r0.apk2025-10-16 18:43 1.8M 
[   ]magpie-dev-0.9.4-r0.apk2025-10-16 18:43 246K 
[   ]magpie-lang-0.9.4-r0.apk2025-10-16 18:43 849K 
[   ]maildir-rank-addr-1.4.1-r0.apk2025-10-15 12:16 3.3M 
[   ]maildir-rank-addr-doc-1.4.1-r0.apk2025-10-15 12:16 2.0K 
[   ]maildir2rss-0.0.7-r9.apk2025-10-15 12:16 3.5M 
[   ]mailsec-check-0_git20210729-r30.apk2025-10-15 12:16 2.6M 
[   ]makeclapman-2.4.4-r9.apk2025-10-15 12:16 1.3M 
[   ]makeclapman-doc-2.4.4-r9.apk2025-10-15 12:16 3.9K 
[   ]makeself-2.5.0-r0.apk2023-06-09 21:37 13K 
[   ]malcontent-0.13.1-r0.apk2025-09-26 04:02 157K 
[   ]malcontent-dev-0.13.1-r0.apk2025-09-26 04:02 24K 
[   ]malcontent-doc-0.13.1-r0.apk2025-09-26 04:02 44K 
[   ]mangal-4.0.6-r22.apk2025-10-15 12:16 10M 
[   ]mangal-bash-completion-4.0.6-r22.apk2025-10-15 12:16 4.7K 
[   ]mangal-fish-completion-4.0.6-r22.apk2025-10-15 12:16 3.7K 
[   ]mangal-zsh-completion-4.0.6-r22.apk2025-10-15 12:16 3.7K 
[   ]mangr0ve-0.1.2-r0.apk2024-01-29 02:20 2.5K 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-01-29 02:20 14K 
[   ]manifest-tool-2.2.0-r5.apk2025-10-15 12:16 3.9M 
[   ]mapnik-4.0.6-r1.apk2025-06-10 12:23 12M 
[   ]mapnik-dev-4.0.6-r1.apk2025-06-10 12:23 485K 
[   ]mapnik-doc-4.0.6-r1.apk2025-06-10 12:23 141K 
[   ]mapserver-8.4.1-r0.apk2025-09-26 04:02 1.3M 
[   ]mapserver-dev-8.4.1-r0.apk2025-09-26 04:02 540K 
[   ]marxan-4.0.7-r1.apk2022-10-25 13:04 543K 
[   ]masky-0.2.0-r2.apk2025-05-29 12:38 277K 
[   ]masky-pyc-0.2.0-r2.apk2025-05-29 12:38 63K 
[   ]mat2-0.13.5-r0.apk2025-09-26 04:02 35K 
[   ]mat2-doc-0.13.5-r0.apk2025-09-26 04:02 7.4K 
[   ]mat2-pyc-0.13.5-r0.apk2025-09-26 04:02 54K 
[   ]materia-20210322-r3.apk2025-07-16 12:25 1.4K 
[   ]materia-chromium-20210322-r3.apk2025-07-16 12:25 5.4K 
[   ]materia-compact-20210322-r3.apk2025-07-16 12:25 1.4K 
[   ]materia-compact-chromium-20210322-r3.apk2025-07-16 12:25 5.4K 
[   ]materia-compact-gnome-shell-20210322-r3.apk2025-07-16 12:25 29K 
[   ]materia-compact-gtk2-20210322-r3.apk2025-07-16 12:25 34K 
[   ]materia-compact-gtk3-20210322-r3.apk2025-07-16 12:25 63K 
[   ]materia-compact-gtk4-20210322-r3.apk2025-07-16 12:25 42K 
[   ]materia-dark-20210322-r3.apk2025-07-16 12:25 1.4K 
[   ]materia-dark-chromium-20210322-r3.apk2025-07-16 12:25 5.4K 
[   ]materia-dark-compact-20210322-r3.apk2025-07-16 12:25 1.4K 
[   ]materia-dark-compact-chromium-20210322-r3.apk2025-07-16 12:25 5.5K 
[   ]materia-dark-compact-gnome-shell-20210322-r3.apk2025-07-16 12:25 29K 
[   ]materia-dark-compact-gtk2-20210322-r3.apk2025-07-16 12:25 34K 
[   ]materia-dark-compact-gtk3-20210322-r3.apk2025-07-16 12:25 39K 
[   ]materia-dark-compact-gtk4-20210322-r3.apk2025-07-16 12:25 29K 
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2023-03-20 08:35 1.2K 
[   ]materia-dark-gnome-shell-20210322-r3.apk2025-07-16 12:25 29K 
[   ]materia-dark-gtk2-20210322-r3.apk2025-07-16 12:25 34K 
[   ]materia-dark-gtk3-20210322-r3.apk2025-07-16 12:25 39K 
[   ]materia-dark-gtk4-20210322-r3.apk2025-07-16 12:25 29K 
[   ]materia-dark-kde-konsole-20220823-r0.apk2023-03-20 08:35 1.6K 
[   ]materia-dark-kde-kvantum-20220823-r0.apk2023-03-20 08:35 30K 
[   ]materia-dark-kde-plasma-20220823-r0.apk2023-03-20 08:35 503K 
[   ]materia-dark-kde-yakuake-20220823-r0.apk2023-03-20 08:35 21K 
[   ]materia-gnome-shell-20210322-r3.apk2025-07-16 12:25 29K 
[   ]materia-gtk-theme-20210322-r3.apk2025-07-16 12:25 2.6K 
[   ]materia-gtk2-20210322-r3.apk2025-07-16 12:25 34K 
[   ]materia-gtk3-20210322-r3.apk2025-07-16 12:25 63K 
[   ]materia-gtk4-20210322-r3.apk2025-07-16 12:25 42K 
[   ]materia-kde-20220823-r0.apk2023-03-20 08:35 19K 
[   ]materia-kde-konsole-20220823-r0.apk2023-03-20 08:35 1.6K 
[   ]materia-kde-kvantum-20220823-r0.apk2023-03-20 08:35 30K 
[   ]materia-kde-plasma-20220823-r0.apk2023-03-20 08:35 1.7M 
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2023-03-20 08:35 1.2K 
[   ]materia-light-kde-kvantum-20220823-r0.apk2023-03-20 08:35 29K 
[   ]materia-light-kde-plasma-20220823-r0.apk2023-03-20 08:35 20K 
[   ]materia-light-kde-yakuake-20220823-r0.apk2023-03-20 08:35 21K 
[   ]maxima-5.48.1-r9.apk2025-08-22 18:13 25M 
[   ]maxima-bash-completion-5.48.1-r9.apk2025-08-22 18:13 2.1K 
[   ]maxima-doc-5.48.1-r9.apk2025-08-22 18:13 845K 
[   ]maxima-doc-extra-5.48.1-r9.apk2025-08-22 18:13 9.6M 
[   ]maxima-emacs-5.48.1-r9.apk2025-08-22 18:13 110K 
[   ]mbrola-3.3-r0.apk2022-10-07 06:49 19K 
[   ]mcjoin-2.11-r0.apk2022-10-07 06:49 20K 
[   ]mcjoin-doc-2.11-r0.apk2022-10-07 06:49 53K 
[   ]mcqd-1.0.0-r1.apk2023-08-19 19:23 12K 
[   ]mcqd-dev-1.0.0-r1.apk2023-08-19 19:23 3.8K 
[   ]md5ha1-0_git20171202-r1.apk2022-10-25 13:04 8.3K 
[   ]mdbook-admonish-1.20.0-r0.apk2025-06-17 05:38 1.0M 
[   ]mdbook-alerts-0.8.0-r0.apk2025-09-28 04:37 744K 
[   ]mdbook-katex-0.9.4-r0.apk2025-05-18 05:06 1.2M 
[   ]mdbook-linkcheck-0.7.7-r0.apk2025-05-16 19:26 2.7M 
[   ]mdbook-mermaid-0.16.0-r0.apk2025-09-28 08:08 1.7M 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-07-16 05:17 895K 
[   ]mdcat-2.7.1-r0.apk2024-12-14 18:18 3.0M 
[   ]mdcat-bash-completion-2.7.1-r0.apk2024-12-14 18:18 1.9K 
[   ]mdcat-doc-2.7.1-r0.apk2024-12-14 18:18 5.8K 
[   ]mdcat-fish-completion-2.7.1-r0.apk2024-12-14 18:18 1.9K 
[   ]mdcat-zsh-completion-2.7.1-r0.apk2024-12-14 18:18 2.2K 
[   ]mdnsd-0.12-r1.apk2023-05-21 12:09 23K 
[   ]mdnsd-doc-0.12-r1.apk2023-05-21 12:09 14K 
[   ]mdnsd-libs-0.12-r1.apk2023-05-21 12:09 18K 
[   ]mdnsd-openrc-0.12-r1.apk2023-05-21 12:09 1.9K 
[   ]mdnsd-static-0.12-r1.apk2023-05-21 12:09 32K 
[   ]mdp-1.0.18-r0.apk2025-07-16 12:25 16K 
[   ]mdp-doc-1.0.18-r0.apk2025-07-16 12:25 3.6K 
[   ]mediascanner2-0.118-r3.apk2025-08-20 01:25 278K 
[   ]mediastreamer2-5.3.112-r1.apk2025-10-19 15:26 369K 
[   ]mediastreamer2-dev-5.3.112-r1.apk2025-10-19 15:26 109K 
[   ]mediastreamer2-doc-5.3.112-r1.apk2025-10-19 15:26 107K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk2025-03-10 14:12 11K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-04-30 01:06 9.7K 
[   ]meep-1.31.0-r1.apk2025-08-19 23:17 641K 
[   ]meep-dev-1.31.0-r1.apk2025-08-19 23:17 792K 
[   ]megatools-1.11.5.20250706-r0.apk2025-07-30 20:27 62K 
[   ]megatools-bash-completion-1.11.5.20250706-r0.apk2025-07-30 20:27 3.8K 
[   ]megatools-doc-1.11.5.20250706-r0.apk2025-07-30 20:27 52K 
[   ]megazeux-2.93d-r0.apk2025-06-10 13:55 1.4M 
[   ]megazeux-doc-2.93d-r0.apk2025-06-10 13:55 465K 
[   ]melange-0.31.8-r0.apk2025-10-18 20:37 12M 
[   ]melange-bash-completion-0.31.8-r0.apk2025-10-18 20:37 6.3K 
[   ]melange-fish-completion-0.31.8-r0.apk2025-10-18 20:37 4.1K 
[   ]melange-zsh-completion-0.31.8-r0.apk2025-10-18 20:37 3.8K 
[   ]meli-0.8.11-r0.apk2025-05-04 14:57 5.0M 
[   ]meli-doc-0.8.11-r0.apk2025-05-04 14:57 48K 
[   ]memdump-1.01-r1.apk2022-10-25 13:04 4.8K 
[   ]memdump-doc-1.01-r1.apk2022-10-25 13:04 2.9K 
[   ]menumaker-0.99.14-r1.apk2022-10-14 19:59 111K 
[   ]mergerfs-2.40.2-r1.apk2024-10-21 23:03 288K 
[   ]mergerfs-doc-2.40.2-r1.apk2024-10-21 23:03 42K 
[   ]meson-tools-0.1-r2.apk2024-12-09 16:38 7.8K 
[   ]meson-tools-doc-0.1-r2.apk2024-12-09 16:38 8.0K 
[   ]mesonlsp-4.3.7-r4.apk2025-06-19 05:49 2.1M 
[   ]metadata-cleaner-2.5.6-r0.apk2025-07-08 20:57 49K 
[   ]metadata-cleaner-doc-2.5.6-r0.apk2025-07-08 20:57 1.9M 
[   ]metadata-cleaner-lang-2.5.6-r0.apk2025-07-08 20:57 66K 
[   ]metalang99-1.13.3-r0.apk2023-05-21 12:09 54K 
[   ]milkytracker-1.04.00-r2.apk2024-03-25 12:20 1.0M 
[   ]milkytracker-doc-1.04.00-r2.apk2024-03-25 12:20 50K 
[   ]mimalloc1-1.9.3-r1.apk2025-05-18 16:06 75K 
[   ]mimalloc1-debug-1.9.3-r1.apk2025-05-18 16:06 205K 
[   ]mimalloc1-dev-1.9.3-r1.apk2025-05-18 16:06 1.0M 
[   ]mimalloc1-insecure-1.9.3-r1.apk2025-05-18 16:06 69K 
[   ]mimedefang-3.6-r1.apk2025-10-06 01:41 157K 
[   ]mimedefang-doc-3.6-r1.apk2025-10-06 01:41 81K 
[   ]mimeo-2023-r2.apk2024-08-07 01:50 28K 
[   ]mimeo-pyc-2023-r2.apk2024-08-07 01:50 41K 
[   ]minidyndns-1.3.0-r3.apk2021-10-19 21:12 12K 
[   ]minidyndns-doc-1.3.0-r3.apk2021-10-19 21:12 5.1K 
[   ]minidyndns-openrc-1.3.0-r3.apk2021-10-19 21:12 1.8K 
[   ]minigalaxy-1.4.0-r0.apk2025-07-16 12:25 197K 
[   ]minigalaxy-pyc-1.4.0-r0.apk2025-07-16 12:25 134K 
[   ]minimodem-0.24-r1.apk2022-10-25 13:04 19K 
[   ]minimodem-doc-0.24-r1.apk2022-10-25 13:04 4.9K 
[   ]minisatip-1.3.4-r0.apk2024-03-15 05:36 325K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-03-15 05:36 1.6K 
[   ]mint-themes-2.3.1-r0.apk2025-08-06 12:14 1.8M 
[   ]mint-themes-doc-2.3.1-r0.apk2025-08-06 12:14 14K 
[   ]mint-x-icons-1.7.2-r0.apk2024-12-25 19:19 22M 
[   ]mint-x-icons-doc-1.7.2-r0.apk2024-12-25 19:19 7.1K 
[   ]mint-x-theme-2.3.1-r0.apk2025-08-06 12:14 2.5K 
[   ]mint-x-theme-gtk2-2.3.1-r0.apk2025-08-06 12:14 452K 
[   ]mint-x-theme-gtk3-2.3.1-r0.apk2025-08-06 12:14 597K 
[   ]mint-x-theme-gtk4-2.3.1-r0.apk2025-08-06 12:14 503K 
[   ]mint-x-theme-metacity-2.3.1-r0.apk2025-08-06 12:14 5.8K 
[   ]mint-x-theme-xfwm4-2.3.1-r0.apk2025-08-06 12:14 31K 
[   ]mint-y-icons-1.8.3-r0.apk2025-02-06 02:27 72M 
[   ]mint-y-icons-doc-1.8.3-r0.apk2025-02-06 02:27 11K 
[   ]mint-y-theme-2.3.1-r0.apk2025-08-06 12:14 3.3K 
[   ]mint-y-theme-gtk2-2.3.1-r0.apk2025-08-06 12:14 595K 
[   ]mint-y-theme-gtk3-2.3.1-r0.apk2025-08-06 12:14 2.0M 
[   ]mint-y-theme-gtk4-2.3.1-r0.apk2025-08-06 12:14 1.7M 
[   ]mint-y-theme-metacity-2.3.1-r0.apk2025-08-06 12:14 55K 
[   ]mint-y-theme-xfwm4-2.3.1-r0.apk2025-08-06 12:14 203K 
[   ]mir-2.22.2-r0.apk2025-09-29 10:58 2.3M 
[   ]mir-demos-2.22.2-r0.apk2025-09-29 10:58 158K 
[   ]mir-dev-2.22.2-r0.apk2025-09-29 10:58 15M 
[   ]mir-test-tools-2.22.2-r0.apk2025-09-29 10:58 1.4M 
[   ]miracle-wm-0.7.1-r1.apk2025-10-15 12:16 433K 
[   ]miracle-wm-dev-0.7.1-r1.apk2025-10-15 12:16 8.6K 
[   ]mirrorhall-0.1.1-r2.apk2025-08-10 16:56 26K 
[   ]mjpg-streamer-0_git20210220-r2.apk2025-05-15 20:20 182K 
[   ]mkbrr-1.15.0-r2.apk2025-10-15 12:16 4.0M 
[   ]mkcert-1.4.4-r23.apk2025-10-15 12:16 1.7M 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-08-07 01:50 28K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-08-07 01:50 1.5K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-08-07 01:50 260K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-08-07 01:50 1.5K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-08-07 01:50 791K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-08-07 01:50 1.6K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-08-07 01:50 538K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-08-07 01:50 4.5K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-08-07 01:50 248K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-08-07 01:50 1.5K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-08-07 01:50 651K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-08-07 01:50 1.6K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-08-07 01:50 644K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-08-07 01:50 1.6K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-08-07 01:50 11K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-08-07 01:50 1.5K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-08-07 01:50 248K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-08-07 01:50 1.6K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-08-07 01:50 944K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-08-07 01:50 1.5K 
[   ]mkdotenv-0.4.9-r1.apk2025-10-15 12:16 914K 
[   ]mkg3a-0.5.0-r1.apk2022-10-25 13:04 12K 
[   ]mkg3a-doc-0.5.0-r1.apk2022-10-25 13:04 2.8K 
[   ]mkosi-25.3_git20250929-r0.apk2025-09-29 17:32 289K 
[   ]mkosi-doc-25.3_git20250929-r0.apk2025-09-29 17:32 74K 
[   ]mkosi-pyc-25.3_git20250929-r0.apk2025-09-29 17:32 431K 
[   ]mkrundir-0.4.0-r1.apk2024-11-29 12:38 87K 
[   ]mktorrent-borg-0.9.9-r1.apk2022-10-07 06:50 8.4K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2022-10-07 06:50 2.2K 
[   ]mlir-next-22.0.0_pre20250926-r0.apk2025-09-28 04:37 20M 
[   ]mlir-next-dev-22.0.0_pre20250926-r0.apk2025-09-28 04:37 6.9M 
[   ]mlir-next-libs-22.0.0_pre20250926-r0.apk2025-09-28 04:37 19M 
[   ]mlir-next-static-22.0.0_pre20250926-r0.apk2025-09-28 04:37 72M 
[   ]mlxl-0.1-r0.apk2023-03-18 10:50 5.2K 
[   ]mm-1.4.2-r1.apk2021-07-27 08:12 6.6K 
[   ]mm-common-1.0.7-r0.apk2025-06-27 16:40 414K 
[   ]mm-common-doc-1.0.7-r0.apk2025-06-27 16:40 33K 
[   ]mm-dev-1.4.2-r1.apk2021-07-27 08:12 15K 
[   ]mm-doc-1.4.2-r1.apk2021-07-27 08:12 14K 
[   ]mmar-0.2.5-r1.apk2025-05-15 20:20 2.5M 
[   ]mnamer-2.5.5-r1.apk2024-04-30 01:06 31K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-04-30 01:06 60K 
[   ]mobpass-0.2-r6.apk2024-08-07 01:50 18K 
[   ]mobpass-pyc-0.2-r6.apk2024-08-07 01:50 5.0K 
[   ]mobroute-0.10.0-r4.apk2025-10-15 12:16 4.5M 
[   ]mobroute-doc-0.10.0-r4.apk2025-10-15 12:16 1.3M 
[   ]mod_dnssd-0.6-r1.apk2025-08-10 16:56 8.0K 
[   ]modem-manager-gui-0.0.20-r0.apk2021-10-29 14:02 309K 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2021-10-29 14:02 3.9M 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2021-10-29 14:02 129K 
[   ]mods-1.8.1-r2.apk2025-10-15 12:16 10M 
[   ]mods-doc-1.8.1-r2.apk2025-10-15 12:16 2.0K 
[   ]moe-1.14-r0.apk2024-01-21 03:29 105K 
[   ]moe-doc-1.14-r0.apk2024-01-21 03:29 19K 
[   ]moka-icon-theme-5.4.0-r2.apk2021-07-27 08:12 114M 
[   ]monetdb-11.33.11-r4.apk2023-05-01 21:45 2.3M 
[   ]monetdb-dev-11.33.11-r4.apk2023-05-01 21:45 77K 
[   ]monetdb-doc-11.33.11-r4.apk2023-05-01 21:45 321K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2023-08-19 19:23 183K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2023-08-19 19:23 89K 
[   ]monopd-0.10.4-r0.apk2025-01-11 13:41 89K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 13:41 1.4K 
[   ]moon-buggy-1.0.51-r1.apk2022-10-25 13:04 31K 
[   ]moon-buggy-doc-1.0.51-r1.apk2022-10-25 13:04 6.9K 
[   ]moosefs-4.56.6-r2.apk2025-06-19 14:40 273K 
[   ]moosefs-cgi-4.56.6-r2.apk2025-06-19 14:40 121K 
[   ]moosefs-cgiserv-4.56.6-r2.apk2025-06-19 14:40 7.6K 
[   ]moosefs-cgiserv-openrc-4.56.6-r2.apk2025-06-19 14:40 1.7K 
[   ]moosefs-chunkserver-4.56.6-r2.apk2025-06-19 14:40 201K 
[   ]moosefs-chunkserver-openrc-4.56.6-r2.apk2025-06-19 14:40 1.4K 
[   ]moosefs-client-4.56.6-r2.apk2025-06-19 14:40 777K 
[   ]moosefs-doc-4.56.6-r2.apk2025-06-19 14:40 95K 
[   ]moosefs-master-4.56.6-r2.apk2025-06-19 14:40 381K 
[   ]moosefs-master-openrc-4.56.6-r2.apk2025-06-19 14:40 1.4K 
[   ]moosefs-metalogger-4.56.6-r2.apk2025-06-19 14:40 42K 
[   ]moosefs-metalogger-openrc-4.56.6-r2.apk2025-06-19 14:40 1.4K 
[   ]moosefs-static-4.56.6-r2.apk2025-06-19 14:40 1.8M 
[   ]motion-4.7.1-r0.apk2025-09-28 08:08 142K 
[   ]motion-doc-4.7.1-r0.apk2025-09-28 08:08 139K 
[   ]motion-lang-4.7.1-r0.apk2025-09-28 08:08 471K 
[   ]motion-openrc-4.7.1-r0.apk2025-09-28 08:08 2.0K 
[   ]mp3val-0.1.8-r1.apk2022-10-14 19:59 13K 
[   ]mpdcron-0.3-r1.apk2022-10-25 13:04 84K 
[   ]mpdcron-dev-0.3-r1.apk2022-10-25 13:04 95K 
[   ]mpdcron-doc-0.3-r1.apk2022-10-25 13:04 13K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2022-10-25 13:04 2.6K 
[   ]mpdris2-0.9.1-r3.apk2022-10-07 06:50 15K 
[   ]mpdris2-doc-0.9.1-r3.apk2022-10-07 06:50 15K 
[   ]mpdris2-lang-0.9.1-r3.apk2022-10-07 06:50 2.1K 
[   ]mpv-sponsorblock-2.2.0-r0.apk2025-06-16 15:22 1.4M 
[   ]mqtt2prometheus-0.1.7-r20.apk2025-10-15 12:16 4.4M 
[   ]mrsh-0_git20210518-r1.apk2022-10-25 13:04 4.6K 
[   ]mrsh-dbg-0_git20210518-r1.apk2022-10-25 13:04 207K 
[   ]mrsh-dev-0_git20210518-r1.apk2022-10-25 13:04 9.7K 
[   ]mrsh-libs-0_git20210518-r1.apk2022-10-25 13:04 52K 
[   ]msgpuck-2.0-r1.apk2021-07-27 08:12 1.2K 
[   ]msgpuck-dev-2.0-r1.apk2021-07-27 08:12 32K 
[   ]msgpuck-doc-2.0-r1.apk2021-07-27 08:12 7.3K 
[   ]msh-2.5.0-r16.apk2025-10-15 12:16 2.9M 
[   ]msh-openrc-2.5.0-r16.apk2025-10-15 12:16 1.7K 
[   ]mspdebug-0.25-r1.apk2022-10-25 13:04 182K 
[   ]mspdebug-doc-0.25-r1.apk2022-10-25 13:04 14K 
[   ]mtail-3.0.8-r0.apk2025-10-15 12:16 11M 
[   ]mtail-openrc-3.0.8-r0.apk2025-10-15 12:16 1.7K 
[   ]mtg-2.1.7-r25.apk2025-10-15 12:16 4.4M 
[   ]mtg-openrc-2.1.7-r25.apk2025-10-15 12:16 1.6K 
[   ]murex-7.0.2107-r4.apk2025-10-15 12:16 6.1M 
[   ]murex-doc-7.0.2107-r4.apk2025-10-15 12:16 303K 
[   ]muse-4.2.1-r2.apk2025-05-15 20:20 6.0M 
[   ]muse-doc-4.2.1-r2.apk2025-05-15 20:20 4.1M 
[   ]musikcube-3.0.5-r0.apk2025-09-28 08:08 2.2M 
[   ]musikcube-dev-3.0.5-r0.apk2025-09-28 08:08 19K 
[   ]musikcube-plugin-all-3.0.5-r0.apk2025-09-28 08:08 1.1K 
[   ]musikcube-plugin-httpdatastream-3.0.5-r0.apk2025-09-28 08:08 73K 
[   ]musikcube-plugin-mpris-3.0.5-r0.apk2025-09-28 08:08 20K 
[   ]musikcube-plugin-openmpt-3.0.5-r0.apk2025-09-28 08:08 28K 
[   ]musikcube-plugin-server-3.0.5-r0.apk2025-09-28 08:08 370K 
[   ]musikcube-plugin-stockencoders-3.0.5-r0.apk2025-09-28 08:08 19K 
[   ]musikcube-plugin-supereqdsp-3.0.5-r0.apk2025-09-28 08:08 25K 
[   ]musikcube-plugin-taglibreader-3.0.5-r0.apk2025-09-28 08:08 34K 
[   ]mxclient-0_git20211002-r1.apk2022-10-25 13:04 71K 
[   ]n30f-2.0-r3.apk2022-10-25 13:04 6.1K 
[   ]nano-hare-0_git20231021-r0.apk2024-01-31 16:23 1.9K 
[   ]nautilus-python-4.0.1-r0.apk2025-10-15 12:16 9.4K 
[   ]nautilus-python-dev-4.0.1-r0.apk2025-10-15 12:16 1.4K 
[   ]nautilus-python-doc-4.0.1-r0.apk2025-10-15 12:16 4.0K 
[   ]nauty-2.9.1-r0.apk2025-09-26 04:02 5.3M 
[   ]nauty-dev-2.9.1-r0.apk2025-09-26 04:02 6.0M 
[   ]nauty-libs-2.9.1-r0.apk2025-09-26 04:02 2.5M 
[   ]nb-7.19.1-r0.apk2025-05-24 22:14 152K 
[   ]nb-bash-completion-7.19.1-r0.apk2025-05-24 22:14 2.7K 
[   ]nb-doc-7.19.1-r0.apk2025-05-24 22:14 77K 
[   ]nb-fish-completion-7.19.1-r0.apk2025-05-24 22:14 2.5K 
[   ]nb-full-7.19.1-r0.apk2025-05-24 22:14 1.0K 
[   ]nb-zsh-completion-7.19.1-r0.apk2025-05-24 22:14 2.7K 
[   ]nbsdgames-5-r0.apk2022-05-06 23:02 86K 
[   ]nbsdgames-doc-5-r0.apk2022-05-06 23:02 9.4K 
[   ]ndpi-4.10-r0.apk2024-08-07 01:50 1.4M 
[   ]ndpi-dev-4.10-r0.apk2024-08-07 01:50 1.5M 
[   ]neard-0.19-r0.apk2023-09-19 19:53 139K 
[   ]neard-dev-0.19-r0.apk2023-09-19 19:53 11K 
[   ]neard-doc-0.19-r0.apk2023-09-19 19:53 5.3K 
[   ]neard-openrc-0.19-r0.apk2023-09-19 19:53 1.5K 
[   ]neko-2.3.0-r0.apk2024-11-20 07:30 458K 
[   ]neko-dev-2.3.0-r0.apk2024-11-20 07:30 10K 
[   ]neko-doc-2.3.0-r0.apk2024-11-20 07:30 20K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2025-03-10 19:00 22K 
[   ]neocmakelsp-0.8.25-r0.apk2025-08-29 19:13 1.6M 
[   ]neocmakelsp-bash-completion-0.8.25-r0.apk2025-08-29 19:13 1.7K 
[   ]neocmakelsp-doc-0.8.25-r0.apk2025-08-29 19:13 5.6K 
[   ]neocmakelsp-fish-completion-0.8.25-r0.apk2025-08-29 19:13 1.4K 
[   ]neocmakelsp-zsh-completion-0.8.25-r0.apk2025-08-29 19:13 1.6K 
[   ]neofetch-7.1.0-r2.apk2024-11-06 21:50 85K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-06 21:50 5.9K 
[   ]net-predictable-1.5.1-r4.apk2025-10-15 12:16 925K 
[   ]net-predictable-doc-1.5.1-r4.apk2025-10-15 12:16 2.0K 
[   ]netdiscover-0.21-r0.apk2025-08-15 13:24 620K 
[   ]netdiscover-doc-0.21-r0.apk2025-08-15 13:24 3.9K 
[   ]netscanner-0.5.1-r1.apk2025-07-01 21:11 3.6M 
[   ]netscanner-doc-0.5.1-r1.apk2025-07-01 21:11 3.0K 
[   ]netsed-1.4-r0.apk2025-08-18 10:15 9.3K 
[   ]networkmanager-dmenu-2.6.1-r1.apk2025-10-15 12:16 14K 
[   ]networkmanager-dmenu-doc-2.6.1-r1.apk2025-10-15 12:16 6.5K 
[   ]newsyslog-1.2.0.91-r1.apk2023-06-02 00:25 17K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2023-06-02 00:25 24K 
[   ]nextpnr-0.7-r0.apk2024-06-27 04:00 1.2K 
[   ]nextpnr-ecp5-0.7-r0.apk2024-06-27 04:00 25M 
[   ]nextpnr-generic-0.7-r0.apk2024-06-27 04:00 761K 
[   ]nextpnr-gowin-0.7-r0.apk2024-06-27 04:00 1.5M 
[   ]nextpnr-ice40-0.7-r0.apk2024-06-27 04:00 69M 
[   ]nfcd-1.2.2-r1.apk2025-08-10 16:56 310K 
[   ]nfcd-dev-1.2.2-r1.apk2025-08-10 16:56 24K 
[   ]nfoview-2.1-r0.apk2025-04-15 14:08 38K 
[   ]nfoview-doc-2.1-r0.apk2025-04-15 14:08 7.7K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-17 13:56 712K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-17 13:56 21K 
[   ]ngs-0.2.14-r0.apk2022-10-13 04:22 279K 
[   ]ngs-aws-0.2.14-r0.apk2022-10-13 04:22 32K 
[   ]ngs-vim-0.2.14-r0.apk2022-10-13 04:22 4.6K 
[   ]nicotine-plus-3.3.10-r0.apk2025-05-01 18:07 1.6M 
[   ]nicotine-plus-doc-3.3.10-r0.apk2025-05-01 18:07 2.3K 
[   ]nicotine-plus-lang-3.3.10-r0.apk2025-05-01 18:07 757K 
[   ]nicotine-plus-pyc-3.3.10-r0.apk2025-05-01 18:07 789K 
[   ]nitro-2.7_beta8-r2.apk2023-12-14 16:55 538K 
[   ]nitro-dev-2.7_beta8-r2.apk2023-12-14 16:55 190K 
[   ]nitro-init-0.5-r0.apk2025-10-19 09:16 20K 
[   ]nitro-init-doc-0.5-r0.apk2025-10-19 09:16 4.4K 
[   ]nkk-0_git20221010-r0.apk2023-02-23 20:20 12K 
[   ]nkk-dev-0_git20221010-r0.apk2023-02-23 20:20 2.6K 
[   ]nkk-doc-0_git20221010-r0.apk2023-02-23 20:20 6.7K 
[   ]nlopt-2.10.0-r2.apk2025-10-01 06:35 183K 
[   ]nlopt-dev-2.10.0-r2.apk2025-10-01 06:35 12K 
[   ]nlopt-doc-2.10.0-r2.apk2025-10-01 06:35 23K 
[   ]nlopt-guile-2.10.0-r2.apk2025-10-01 06:35 42K 
[   ]nm-tray-0.5.1-r0.apk2025-09-26 04:02 98K 
[   ]nm-tray-lang-0.5.1-r0.apk2025-09-26 04:02 27K 
[   ]nmap-parse-output-1.5.1-r1.apk2025-05-29 12:38 20K 
[   ]nmap-parse-output-bash-completion-1.5.1-r1.apk2025-05-29 12:38 1.7K 
[   ]nmap-parse-output-doc-1.5.1-r1.apk2025-05-29 12:38 807K 
[   ]noblenote-1.2.1-r1.apk2022-10-25 13:04 409K 
[   ]noggin-model-0.1-r0.apk2023-06-02 00:25 12M 
[   ]noggin-model-lightweight-0.1-r0.apk2023-06-02 00:25 1.7M 
[   ]noice-0.8-r1.apk2022-10-25 13:04 8.6K 
[   ]noice-doc-0.8-r1.apk2022-10-25 13:04 3.1K 
[   ]nom-2.8.0-r7.apk2025-10-15 12:16 6.8M 
[   ]nom-doc-2.8.0-r7.apk2025-10-15 12:16 3.8K 
[   ]nomadnet-0.8.0-r0.apk2025-09-28 04:37 143K 
[   ]nomadnet-pyc-0.8.0-r0.apk2025-09-28 04:37 284K 
[   ]normaliz-3.10.4-r2.apk2025-06-13 05:40 40K 
[   ]normaliz-dev-3.10.4-r2.apk2025-06-13 05:40 72K 
[   ]normaliz-libs-3.10.4-r2.apk2025-06-13 05:40 2.5M 
[   ]notification-daemon-3.20.0-r1.apk2025-07-02 06:05 58K 
[   ]nrf5-sdk-17.1.0-r0.apk2025-08-20 01:26 47M 
[   ]nrf5-sdk-doc-17.1.0-r0.apk2025-08-20 01:26 3.4K 
[   ]nsnake-3.0.0-r0.apk2022-04-29 10:40 7.9K 
[   ]nsnake-doc-3.0.0-r0.apk2022-04-29 10:40 2.6K 
[   ]nsq-1.3.0-r10.apk2025-05-15 20:20 25M 
[   ]ntpd-rs-1.6.2-r1.apk2025-09-28 20:21 3.2M 
[   ]ntpd-rs-doc-1.6.2-r1.apk2025-09-28 20:21 24K 
[   ]ntpd-rs-openrc-1.6.2-r1.apk2025-09-28 20:21 1.7K 
[   ]nuklear-4.12.0-r0.apk2024-03-03 07:17 220K 
[   ]nuklear-doc-4.12.0-r0.apk2024-03-03 07:17 42K 
[   ]nullmailer-2.2-r4.apk2021-12-22 12:37 84K 
[   ]nullmailer-doc-2.2-r4.apk2021-12-22 12:37 10K 
[   ]nullmailer-openrc-2.2-r4.apk2021-12-22 12:37 1.6K 
[   ]nuzzle-1.6-r0.apk2025-01-17 15:43 11K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-17 15:43 2.9K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-06-17 08:37 55K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-06-17 08:37 7.5K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-06-17 08:37 3.9K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-06-17 08:37 3.1K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-06-17 08:37 1.5K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-06-17 08:37 10K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-06-17 08:37 3.2K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-06-17 08:37 2.3K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-06-17 08:37 3.3K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-06-17 08:37 1.8K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-06-17 08:37 3.5K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-06-17 08:37 1.7K 
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-06-17 08:37 9.9K 
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-06-17 08:37 2.5K 
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-06-17 08:37 45K 
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-06-17 08:37 21K 
[   ]nvim-web-devicons-0.100_git20241011-r0.apk2024-11-11 03:58 27K 
[   ]nvim-web-devicons-doc-0.100_git20241011-r0.apk2024-11-11 03:58 6.9K 
[   ]nvimpager-0.12.0-r0.apk2023-06-22 10:22 12K 
[   ]nvimpager-doc-0.12.0-r0.apk2023-06-22 10:22 4.2K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2023-06-22 10:22 1.6K 
[   ]nvtop-3.2.0-r0.apk2025-04-29 23:40 65K 
[   ]nvtop-doc-3.2.0-r0.apk2025-04-29 23:40 3.3K 
[   ]nwg-bar-0.1.6-r14.apk2025-10-15 12:16 1.6M 
[   ]nwg-displays-0.3.26-r0.apk2025-08-28 07:40 26K 
[   ]nwg-displays-pyc-0.3.26-r0.apk2025-08-28 07:40 36K 
[   ]nwg-dock-0.4.3-r4.apk2025-10-15 12:16 1.7M 
[   ]nwg-look-1.0.6-r0.apk2025-10-15 22:29 1.5M 
[   ]nwg-look-doc-1.0.6-r0.apk2025-10-15 22:29 3.9K 
[   ]nwg-menu-0.1.9-r3.apk2025-10-15 12:16 1.7M 
[   ]nwg-menu-doc-0.1.9-r3.apk2025-10-15 12:16 2.0K 
[   ]nwg-panel-0.10.12-r0.apk2025-07-31 08:02 283K 
[   ]nwg-panel-doc-0.10.12-r0.apk2025-07-31 08:02 4.2K 
[   ]nwg-panel-pyc-0.10.12-r0.apk2025-07-31 08:02 270K 
[   ]nwipe-0.38-r0.apk2025-06-19 19:22 271K 
[   ]nwipe-doc-0.38-r0.apk2025-06-19 19:22 3.5K 
[   ]nymphcast-mediaserver-0.1-r4.apk2025-08-28 21:20 73K 
[   ]nymphcast-mediaserver-nftables-0.1-r4.apk2025-08-28 21:20 1.4K 
[   ]nzbget-25.3-r0.apk2025-09-28 04:37 4.8M 
[   ]nzbget-openrc-25.3-r0.apk2025-09-28 04:37 1.8K 
[   ]objconv-2.52_git20210213-r2.apk2022-10-25 13:04 240K 
[   ]oblibs-0.3.4.0-r0.apk2025-06-01 13:15 34K 
[   ]oblibs-dbg-0.3.4.0-r0.apk2025-06-01 13:15 96K 
[   ]oblibs-dev-0.3.4.0-r0.apk2025-06-01 13:15 484K 
[   ]obnc-0.17.2-r0.apk2025-05-25 23:41 162K 
[   ]obnc-doc-0.17.2-r0.apk2025-05-25 23:41 33K 
[   ]ocaml5-llvm-next-22.0.0_pre20250926-r0.apk2025-09-28 04:37 756K 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-21 23:03 1.1M 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-21 23:03 45K 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-21 23:03 69K 
[   ]octoprint-1.10.3-r0.apk2024-12-26 04:50 3.0M 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-02 18:19 4.5K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-02 18:19 3.1K 
[   ]octoprint-filecheck-2024.11.12-r0.apk2025-07-16 12:26 28K 
[   ]octoprint-filecheck-pyc-2024.11.12-r0.apk2025-07-16 12:26 11K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-02 18:19 29K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-02 18:19 17K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-26 04:50 1.4K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-02 18:19 31K 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-02 18:19 13K 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-26 04:50 1.2M 
[   ]oil-0.21.0-r0.apk2024-03-15 23:15 1.5M 
[   ]oil-doc-0.21.0-r0.apk2024-03-15 23:15 6.7K 
[   ]oils-for-unix-0.35.0-r0.apk2025-09-28 22:35 678K 
[   ]oils-for-unix-bash-0.35.0-r0.apk2025-09-28 22:35 1.4K 
[   ]oils-for-unix-binsh-0.35.0-r0.apk2025-09-28 22:35 1.3K 
[   ]oils-for-unix-doc-0.35.0-r0.apk2025-09-28 22:35 6.8K 
[   ]ol-2.6-r0.apk2025-05-01 06:46 1.0M 
[   ]ol-dev-2.6-r0.apk2025-05-01 06:46 16K 
[   ]ol-doc-2.6-r0.apk2025-05-01 06:46 2.3K 
[   ]olsrd-0.9.8-r3.apk2024-09-27 02:03 167K 
[   ]olsrd-doc-0.9.8-r3.apk2024-09-27 02:03 25K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-09-27 02:03 1.6K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-09-27 02:03 181K 
[   ]oniux-0.6.1-r0.apk2025-09-26 04:02 6.0M 
[   ]oniux-doc-0.6.1-r0.apk2025-09-26 04:02 3.0K 
[   ]opcr-policy-0.3.0-r8.apk2025-10-15 12:16 8.7M 
[   ]openapi-tui-0.9.4-r1.apk2024-08-31 11:06 3.8M 
[   ]openapi-validator-1.19.2-r0.apk2024-08-16 01:55 9.9M 
[   ]opendht-3.1.11-r0.apk2025-01-27 21:08 186K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-27 21:08 71K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-27 21:08 2.8K 
[   ]opendht-libs-3.1.11-r0.apk2025-01-27 21:08 594K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-11 23:30 41K 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-11 23:30 6.0K 
[   ]openfpgaloader-0.11.0-r0.apk2023-12-14 16:55 2.0M 
[   ]openjdk22-22.0.2_p9-r3.apk2025-02-17 12:15 1.2K 
[   ]openjdk22-demos-22.0.2_p9-r3.apk2025-02-17 12:15 5.2M 
[   ]openjdk22-doc-22.0.2_p9-r3.apk2025-02-17 12:15 187K 
[   ]openjdk22-jdk-22.0.2_p9-r3.apk2025-02-17 12:15 6.6M 
[   ]openjdk22-jmods-22.0.2_p9-r3.apk2025-02-17 12:15 76M 
[   ]openjdk22-jre-22.0.2_p9-r3.apk2025-02-17 12:15 1.1M 
[   ]openjdk22-jre-headless-22.0.2_p9-r3.apk2025-02-17 12:15 62M 
[   ]openjdk22-src-22.0.2_p9-r3.apk2025-02-17 12:15 48M 
[   ]openjdk22-static-libs-22.0.2_p9-r3.apk2025-02-17 12:15 35M 
[   ]openjdk23-23.0.2_p7-r1.apk2025-02-17 12:15 1.2K 
[   ]openjdk23-demos-23.0.2_p7-r1.apk2025-02-17 12:15 5.2M 
[   ]openjdk23-doc-23.0.2_p7-r1.apk2025-02-17 12:15 188K 
[   ]openjdk23-jdk-23.0.2_p7-r1.apk2025-02-17 12:15 6.7M 
[   ]openjdk23-jmods-23.0.2_p7-r1.apk2025-02-17 12:15 80M 
[   ]openjdk23-jre-23.0.2_p7-r1.apk2025-02-17 12:15 1.1M 
[   ]openjdk23-jre-headless-23.0.2_p7-r1.apk2025-02-17 12:15 66M 
[   ]openjdk23-src-23.0.2_p7-r1.apk2025-02-17 12:15 48M 
[   ]openjdk23-static-libs-23.0.2_p7-r1.apk2025-02-17 12:15 34M 
[   ]openjdk24-24.0.2_p12-r0.apk2025-07-19 15:20 1.3K 
[   ]openjdk24-demos-24.0.2_p12-r0.apk2025-07-19 15:20 5.3M 
[   ]openjdk24-doc-24.0.2_p12-r0.apk2025-07-19 15:20 32M 
[   ]openjdk24-jdk-24.0.2_p12-r0.apk2025-07-19 15:20 7.1M 
[   ]openjdk24-jmods-24.0.2_p12-r0.apk2025-07-19 15:20 81M 
[   ]openjdk24-jre-24.0.2_p12-r0.apk2025-07-19 15:20 1.1M 
[   ]openjdk24-jre-headless-24.0.2_p12-r0.apk2025-07-19 15:20 74M 
[   ]openjdk24-src-24.0.2_p12-r0.apk2025-07-19 15:21 48M 
[   ]openjdk24-static-libs-24.0.2_p12-r0.apk2025-07-19 15:21 35M 
[   ]openjdk25-25.0.0_p36-r0.apk2025-09-26 04:02 1.3K 
[   ]openjdk25-demos-25.0.0_p36-r0.apk2025-09-26 04:02 5.3M 
[   ]openjdk25-doc-25.0.0_p36-r0.apk2025-09-26 04:02 33M 
[   ]openjdk25-jdk-25.0.0_p36-r0.apk2025-09-26 04:02 7.2M 
[   ]openjdk25-jmods-25.0.0_p36-r0.apk2025-09-26 04:02 81M 
[   ]openjdk25-jre-25.0.0_p36-r0.apk2025-09-26 04:02 1.2M 
[   ]openjdk25-jre-headless-25.0.0_p36-r0.apk2025-09-26 04:02 75M 
[   ]openjdk25-src-25.0.0_p36-r0.apk2025-09-26 04:02 48M 
[   ]openjdk25-static-libs-25.0.0_p36-r0.apk2025-09-26 04:02 35M 
[   ]openocd-esp32-0_git20250707-r2.apk2025-10-21 01:09 2.0M 
[   ]openocd-esp32-dev-0_git20250707-r2.apk2025-10-21 01:09 3.4K 
[   ]openocd-esp32-doc-0_git20250707-r2.apk2025-10-21 01:09 3.0K 
[   ]openocd-esp32-udev-0_git20250707-r2.apk2025-10-21 01:09 3.2K 
[   ]openocd-git-0_git20251018-r1.apk2025-10-21 01:09 1.7M 
[   ]openocd-git-cmd-openocd-0_git20251018-r1.apk2025-10-21 01:09 1.3K 
[   ]openocd-git-dbg-0_git20251018-r1.apk2025-10-21 01:09 4.5M 
[   ]openocd-git-dev-0_git20251018-r1.apk2025-10-21 01:09 3.1K 
[   ]openocd-git-doc-0_git20251018-r1.apk2025-10-21 01:09 3.0K 
[   ]openocd-git-udev-0_git20251018-r1.apk2025-10-21 01:09 3.3K 
[   ]openocd-riscv-0_git20230104-r2.apk2024-09-30 12:42 1.5M 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-09-30 12:42 3.5K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-09-30 12:42 3.0K 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-09-30 12:42 3.0K 
[   ]openrdap-0.9.1-r0.apk2025-06-24 15:51 3.2M 
[   ]openrdap-doc-0.9.1-r0.apk2025-06-24 15:51 2.0K 
[   ]opensbi-spacemit-1.3.2.0.4-r0.apk2024-12-19 20:32 262K 
[   ]openscap-daemon-0.1.10-r9.apk2024-04-30 01:06 60K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-04-30 01:06 17K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-04-30 01:06 102K 
[   ]openslide-3.4.1-r3.apk2023-08-19 19:23 80K 
[   ]openslide-dev-3.4.1-r3.apk2023-08-19 19:23 6.6K 
[   ]openslide-doc-3.4.1-r3.apk2023-08-19 19:23 4.7K 
[   ]openslide-tools-3.4.1-r3.apk2023-08-19 19:23 9.0K 
[   ]openswitcher-0.5.0-r4.apk2024-09-30 12:42 148K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-09-30 12:42 9.1K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-09-30 12:42 1.8K 
[   ]opentelemetry-cpp-1.22.0-r2.apk2025-10-06 01:19 611K 
[   ]opentelemetry-cpp-dev-1.22.0-r2.apk2025-10-06 01:19 505K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.22.0-r2.apk2025-10-06 01:19 46K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.22.0-r2.apk2025-10-06 01:19 52K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.22.0-r2.apk2025-10-06 01:19 81K 
[   ]opentelemetry-cpp-exporter-zipkin-1.22.0-r2.apk2025-10-06 01:19 47K 
[   ]openvpn3-3.8.5-r1.apk2025-02-17 12:15 390K 
[   ]openvpn3-dev-3.8.5-r1.apk2025-02-17 12:15 667K 
[   ]openwsman-2.8.1-r1.apk2025-07-01 13:33 49K 
[   ]openwsman-dev-2.8.1-r1.apk2025-07-01 13:33 55K 
[   ]openwsman-doc-2.8.1-r1.apk2025-07-01 13:33 2.1K 
[   ]openwsman-libs-2.8.1-r1.apk2025-07-01 13:33 322K 
[   ]opkg-0.7.0-r0.apk2024-09-29 01:59 9.7K 
[   ]opkg-dev-0.7.0-r0.apk2024-09-29 01:59 196K 
[   ]opkg-doc-0.7.0-r0.apk2024-09-29 01:59 7.4K 
[   ]opkg-libs-0.7.0-r0.apk2024-09-29 01:59 79K 
[   ]opkg-utils-0.7.0-r0.apk2024-09-29 01:59 25K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-09-29 01:59 3.4K 
[   ]opmsg-1.84-r1.apk2022-10-07 06:50 261K 
[   ]oppa-1.1.0-r0.apk2025-10-15 12:16 529K 
[   ]orage-4.20.1-r0.apk2025-04-08 11:58 577K 
[   ]orage-lang-4.20.1-r0.apk2025-04-08 11:58 1.2M 
[   ]organicmaps-2025.09.05.1-r0.apk2025-10-20 11:11 126M 
[   ]osmctools-0.9-r0.apk2023-03-31 21:41 117K 
[   ]ostui-1.0.4-r0.apk2025-09-26 04:02 4.7M 
[   ]ostui-doc-1.0.4-r0.apk2025-09-26 04:02 28K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-09-28 15:58 101K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-09-28 15:58 47K 
[   ]otpclient-4.1.1-r0.apk2025-07-23 02:57 112K 
[   ]otpclient-doc-4.1.1-r0.apk2025-07-23 02:57 3.4K 
[   ]otrs-6.0.48-r2.apk2024-07-10 03:13 29M 
[   ]otrs-apache2-6.0.48-r2.apk2024-07-10 03:13 3.8K 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-07-10 03:13 2.1K 
[   ]otrs-dev-6.0.48-r2.apk2024-07-10 03:13 3.9M 
[   ]otrs-doc-6.0.48-r2.apk2024-07-10 03:13 795K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-07-10 03:13 1.5K 
[   ]otrs-nginx-6.0.48-r2.apk2024-07-10 03:13 1.5K 
[   ]otrs-openrc-6.0.48-r2.apk2024-07-10 03:13 1.6K 
[   ]otrs-setup-6.0.48-r2.apk2024-07-10 03:13 107K 
[   ]ouch-0.6.1-r0.apk2025-05-28 07:49 1.7M 
[   ]ouch-bash-completion-0.6.1-r0.apk2025-05-28 07:49 2.2K 
[   ]ouch-doc-0.6.1-r0.apk2025-05-28 07:49 3.6K 
[   ]ouch-fish-completion-0.6.1-r0.apk2025-05-28 07:49 2.8K 
[   ]ouch-zsh-completion-0.6.1-r0.apk2025-05-28 07:49 2.9K 
[   ]ovhcloud-cli-0.6.0-r0.apk2025-10-15 12:16 7.2M 
[   ]ovn-24.03.1-r0.apk2024-04-30 01:06 7.2M 
[   ]ovn-dbg-24.03.1-r0.apk2024-04-30 01:06 25M 
[   ]ovn-dev-24.03.1-r0.apk2024-04-30 01:06 22M 
[   ]ovn-doc-24.03.1-r0.apk2024-04-30 01:06 512K 
[   ]ovn-openrc-24.03.1-r0.apk2024-04-30 01:06 3.9K 
[   ]ovos-audio-1.0.1-r0.apk2025-07-16 12:26 136K 
[   ]ovos-audio-pyc-1.0.1-r0.apk2025-07-16 12:26 36K 
[   ]ovos-core-1.3.1-r0.apk2025-05-26 19:38 51K 
[   ]ovos-core-pyc-1.3.1-r0.apk2025-05-26 19:38 63K 
[   ]ovos-gui-1.3.3-r0.apk2025-07-16 12:26 38K 
[   ]ovos-gui-pyc-1.3.3-r0.apk2025-07-16 12:26 38K 
[   ]ovos-messagebus-0.0.10-r0.apk2025-04-08 11:36 9.7K 
[   ]ovos-messagebus-pyc-0.0.10-r0.apk2025-04-08 11:36 6.4K 
[   ]ovos-phal-0.2.10-r0.apk2025-07-16 12:26 10K 
[   ]ovos-phal-pyc-0.2.10-r0.apk2025-07-16 12:26 7.0K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-04-30 01:06 45K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-04-30 01:06 3.7K 
[   ]ovpncc-0.1_rc1-r0.apk2023-03-13 12:19 12K 
[   ]ovpncc-doc-0.1_rc1-r0.apk2023-03-13 12:19 6.3K 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-21 12:43 32M 
[   ]p0f-3.09b-r3.apk2024-09-23 01:50 79K 
[   ]p0f-doc-3.09b-r3.apk2024-09-23 01:50 25K 
[   ]p910nd-0.97-r2.apk2022-06-04 14:56 6.8K 
[   ]p910nd-doc-0.97-r2.apk2022-06-04 14:56 3.0K 
[   ]p910nd-openrc-0.97-r2.apk2022-06-04 14:56 1.8K 
[   ]packwiz-0_git20250902-r1.apk2025-10-15 12:16 4.6M 
[   ]packwiz-doc-0_git20250902-r1.apk2025-10-15 12:16 2.0K 
[   ]pacparser-1.4.5-r1.apk2024-09-14 18:10 762K 
[   ]pacparser-dev-1.4.5-r1.apk2024-09-14 18:10 3.3K 
[   ]pacparser-doc-1.4.5-r1.apk2024-09-14 18:10 17K 
[   ]pam-krb5-4.11-r1.apk2024-09-09 11:49 22K 
[   ]pam-krb5-doc-4.11-r1.apk2024-09-09 11:49 23K 
[   ]pam-pkcs11-0.6.13-r0.apk2025-06-10 19:33 253K 
[   ]pam-pkcs11-doc-0.6.13-r0.apk2025-06-10 19:33 14K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-09-09 11:49 8.4K 
[   ]pamtester-0.1.2-r4.apk2024-09-24 09:50 8.7K 
[   ]pamtester-doc-0.1.2-r4.apk2024-09-24 09:50 2.6K 
[   ]pantalaimon-0.10.5-r4.apk2024-04-30 01:06 44K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-04-30 01:06 6.1K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-04-30 01:06 83K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-04-30 01:06 1.4K 
[   ]paperde-0.3.0-r2.apk2025-08-21 08:37 618K 
[   ]paperde-dev-0.3.0-r2.apk2025-08-21 08:37 4.7K 
[   ]paperkey-1.6-r2.apk2023-12-19 21:12 18K 
[   ]paperkey-doc-1.6-r2.apk2023-12-19 21:12 4.3K 
[   ]paprefs-1.2-r2.apk2024-11-25 09:47 30K 
[   ]paprefs-lang-1.2-r2.apk2024-11-25 09:47 38K 
[   ]par-1.53.0-r1.apk2022-10-25 13:04 13K 
[   ]par-doc-1.53.0-r1.apk2022-10-25 13:04 30K 
[   ]par2cmdline-turbo-1.3.0-r0.apk2025-05-10 01:44 213K 
[   ]par2cmdline-turbo-doc-1.3.0-r0.apk2025-05-10 01:44 5.6K 
[   ]parcellite-1.2.5-r0.apk2024-07-11 05:04 226K 
[   ]parcellite-doc-1.2.5-r0.apk2024-07-11 05:04 25K 
[   ]parcellite-lang-1.2.5-r0.apk2024-07-11 05:04 49K 
[   ]parse-changelog-0.6.12-r0.apk2025-05-18 22:25 577K 
[   ]pash-2.3.0-r2.apk2022-10-25 13:04 4.0K 
[   ]pasystray-0.8.2-r0.apk2024-06-28 05:24 46K 
[   ]pasystray-doc-0.8.2-r0.apk2024-06-28 05:24 3.1K 
[   ]pathvector-6.3.2-r17.apk2025-10-15 12:16 3.9M 
[   ]pcl-1.14.1-r0.apk2025-02-17 12:15 449K 
[   ]pcl-dev-1.14.1-r0.apk2025-02-17 12:15 387K 
[   ]pcl-libs-1.14.1-r0.apk2025-02-17 12:15 1.3M 
[   ]pdal-python-plugins-1.6.5-r0.apk2025-06-21 22:06 231K 
[   ]pdf2svg-0.2.4-r0.apk2025-09-28 18:50 4.1K 
[   ]pdfcrack-0.20-r0.apk2022-12-22 21:13 26K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 22:22 64K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 22:22 75K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 22:22 3.4K 
[   ]peervpn-0.044-r5.apk2022-10-07 06:50 39K 
[   ]peervpn-openrc-0.044-r5.apk2022-10-07 06:50 1.5K 
[   ]peg-0.1.18-r1.apk2022-10-25 13:04 32K 
[   ]peg-doc-0.1.18-r1.apk2022-10-25 13:04 13K 
[   ]pegasus-frontend-16_alpha-r0.apk2024-06-23 05:32 1.3M 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-06-23 05:32 16K 
[   ]percona-toolkit-3.5.4-r1.apk2024-09-22 09:39 1.8M 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-09-22 09:39 297K 
[   ]perl-adapter-async-0.019-r0.apk2024-01-17 16:50 7.9K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-01-17 16:50 17K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-04-30 01:06 9.3K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-04-30 01:06 29K 
[   ]perl-algorithm-c3-0.11-r1.apk2023-07-06 17:21 5.4K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2023-07-06 17:21 4.8K 
[   ]perl-algorithm-cron-0.10-r4.apk2023-07-06 17:21 5.8K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2023-07-06 17:21 4.3K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-08-29 10:07 78K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-08-29 10:07 147K 
[   ]perl-algorithm-permute-0.17-r1.apk2025-07-01 13:33 12K 
[   ]perl-algorithm-permute-doc-0.17-r1.apk2025-07-01 13:33 4.9K 
[   ]perl-aliased-0.34-r4.apk2023-07-06 17:21 5.4K 
[   ]perl-aliased-doc-0.34-r4.apk2023-07-06 17:21 5.4K 
[   ]perl-alien-base-modulebuild-1.17-r0.apk2025-06-08 21:31 21K 
[   ]perl-alien-base-modulebuild-doc-1.17-r0.apk2025-06-08 21:31 53K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2023-12-14 16:55 4.8K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2023-12-14 16:55 3.7K 
[   ]perl-anyevent-future-0.05-r0.apk2023-12-14 16:55 4.9K 
[   ]perl-anyevent-future-doc-0.05-r0.apk2023-12-14 16:55 5.2K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-01-17 16:50 12K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-01-17 16:50 10K 
[   ]perl-app-a2p-1.013-r0.apk2025-07-21 09:10 44K 
[   ]perl-app-a2p-doc-1.013-r0.apk2025-07-21 09:10 5.2K 
[   ]perl-app-find2perl-1.005-r0.apk2025-07-21 09:10 8.3K 
[   ]perl-app-find2perl-doc-1.005-r0.apk2025-07-21 09:10 5.6K 
[   ]perl-archive-any-lite-0.11-r0.apk2025-07-16 12:26 3.9K 
[   ]perl-archive-any-lite-doc-0.11-r0.apk2025-07-16 12:26 3.4K 
[   ]perl-archive-extract-0.88-r1.apk2023-07-06 17:21 15K 
[   ]perl-archive-extract-doc-0.88-r1.apk2023-07-06 17:21 6.6K 
[   ]perl-array-diff-0.09-r0.apk2025-07-16 12:26 3.1K 
[   ]perl-array-diff-doc-0.09-r0.apk2025-07-16 12:26 3.6K 
[   ]perl-asa-1.04-r0.apk2025-06-11 16:35 4.1K 
[   ]perl-asa-doc-1.04-r0.apk2025-06-11 16:35 4.7K 
[   ]perl-astro-0.78-r0.apk2025-07-21 09:10 32K 
[   ]perl-astro-coords-0.23-r0.apk2025-10-15 12:16 52K 
[   ]perl-astro-coords-doc-0.23-r0.apk2025-10-15 12:16 40K 
[   ]perl-astro-doc-0.78-r0.apk2025-07-21 09:10 14K 
[   ]perl-astro-montenbruck-1.26-r0.apk2025-07-21 09:10 53K 
[   ]perl-astro-montenbruck-doc-1.26-r0.apk2025-07-21 09:10 59K 
[   ]perl-astro-pal-1.09-r0.apk2025-07-21 09:10 215K 
[   ]perl-astro-pal-doc-1.09-r0.apk2025-07-21 09:10 7.1K 
[   ]perl-astro-satpass-0.134-r0.apk2025-09-26 04:02 218K 
[   ]perl-astro-satpass-doc-0.134-r0.apk2025-09-26 04:02 133K 
[   ]perl-astro-telescope-0.71-r0.apk2025-07-21 09:10 48K 
[   ]perl-astro-telescope-doc-0.71-r0.apk2025-07-21 09:10 4.7K 
[   ]perl-autobox-3.0.2-r1.apk2025-07-01 13:33 18K 
[   ]perl-autobox-doc-3.0.2-r1.apk2025-07-01 13:33 8.6K 
[   ]perl-b-hooks-op-check-0.22-r1.apk2025-07-01 13:33 6.2K 
[   ]perl-b-hooks-op-check-doc-0.22-r1.apk2025-07-01 13:33 3.5K 
[   ]perl-b-utils-0.27-r1.apk2025-07-01 13:33 19K 
[   ]perl-b-utils-doc-0.27-r1.apk2025-07-01 13:33 9.3K 
[   ]perl-badger-0.16-r0.apk2025-06-15 14:50 253K 
[   ]perl-badger-doc-0.16-r0.apk2025-06-15 14:50 260K 
[   ]perl-barcode-zbar-0.10-r4.apk2025-07-01 13:33 30K 
[   ]perl-barcode-zbar-doc-0.10-r4.apk2025-07-01 13:33 12K 
[   ]perl-bareword-filehandles-0.007-r1.apk2025-07-01 13:33 5.6K 
[   ]perl-bareword-filehandles-doc-0.007-r1.apk2025-07-01 13:33 3.0K 
[   ]perl-bind-config-parser-0.01-r5.apk2023-07-06 17:21 3.6K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2023-07-06 17:21 3.4K 
[   ]perl-bsd-resource-1.2911-r11.apk2025-07-01 13:33 18K 
[   ]perl-bsd-resource-doc-1.2911-r11.apk2025-07-01 13:33 7.7K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-01-17 16:50 14K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-01-17 16:50 12K 
[   ]perl-cache-lru-0.04-r0.apk2024-01-17 16:50 2.7K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-01-17 16:50 3.0K 
[   ]perl-cairo-1.109-r5.apk2025-07-01 13:33 75K 
[   ]perl-cairo-doc-1.109-r5.apk2025-07-01 13:33 14K 
[   ]perl-cairo-gobject-1.005-r5.apk2025-07-01 13:33 6.0K 
[   ]perl-cairo-gobject-doc-1.005-r5.apk2025-07-01 13:33 2.7K 
[   ]perl-carp-assert-more-2.9.0-r0.apk2025-04-15 14:08 8.7K 
[   ]perl-carp-assert-more-doc-2.9.0-r0.apk2025-04-15 14:08 7.5K 
[   ]perl-carp-repl-0.18-r0.apk2025-04-26 17:13 5.7K 
[   ]perl-carp-repl-doc-0.18-r0.apk2025-04-26 17:13 5.6K 
[   ]perl-catalyst-action-renderview-0.17-r0.apk2025-03-21 02:23 3.5K 
[   ]perl-catalyst-action-renderview-doc-0.17-r0.apk2025-03-21 02:23 3.8K 
[   ]perl-catalyst-action-rest-1.21-r0.apk2025-04-07 08:33 25K 
[   ]perl-catalyst-action-rest-doc-1.21-r0.apk2025-04-07 08:33 27K 
[   ]perl-catalyst-actionrole-acl-0.07-r0.apk2025-05-07 10:21 4.1K 
[   ]perl-catalyst-actionrole-acl-doc-0.07-r0.apk2025-05-07 10:21 5.5K 
[   ]perl-catalyst-authentication-credential-http-1.018-r0.apk2025-04-07 08:33 8.4K 
[   ]perl-catalyst-authentication-credential-http-doc-1.018-r0.apk2025-04-07 08:33 6.5K 
[   ]perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk2025-06-11 16:37 13K 
[   ]perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk2025-06-11 16:37 14K 
[   ]perl-catalyst-component-instancepercontext-0.001001-r1.apk2025-06-19 14:40 2.5K 
[   ]perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk2025-06-19 14:40 3.0K 
[   ]perl-catalyst-controller-actionrole-0.17-r0.apk2025-04-07 08:33 4.7K 
[   ]perl-catalyst-controller-actionrole-doc-0.17-r0.apk2025-04-07 08:33 4.2K 
[   ]perl-catalyst-devel-1.42-r0.apk2025-03-21 11:54 54K 
[   ]perl-catalyst-devel-doc-1.42-r0.apk2025-03-21 11:54 11K 
[   ]perl-catalyst-manual-5.9013-r0.apk2025-04-07 08:33 2.4K 
[   ]perl-catalyst-manual-doc-5.9013-r0.apk2025-04-07 08:33 375K 
[   ]perl-catalyst-model-adaptor-0.10-r0.apk2025-04-15 14:08 6.2K 
[   ]perl-catalyst-model-adaptor-doc-0.10-r0.apk2025-04-15 14:08 12K 
[   ]perl-catalyst-model-dbic-schema-0.66-r0.apk2025-06-11 16:37 19K 
[   ]perl-catalyst-model-dbic-schema-doc-0.66-r0.apk2025-06-11 16:37 18K 
[   ]perl-catalyst-plugin-authentication-0.10024-r0.apk2025-04-07 08:33 32K 
[   ]perl-catalyst-plugin-authentication-doc-0.10024-r0.apk2025-04-07 08:33 56K 
[   ]perl-catalyst-plugin-configloader-0.35-r0.apk2025-03-21 11:54 5.1K 
[   ]perl-catalyst-plugin-configloader-doc-0.35-r0.apk2025-03-21 11:54 10K 
[   ]perl-catalyst-plugin-i18n-0.10-r0.apk2025-04-07 08:33 3.9K 
[   ]perl-catalyst-plugin-i18n-doc-0.10-r0.apk2025-04-07 08:33 13K 
[   ]perl-catalyst-plugin-session-0.43-r0.apk2025-04-07 08:33 14K 
[   ]perl-catalyst-plugin-session-doc-0.43-r0.apk2025-04-07 08:33 25K 
[   ]perl-catalyst-plugin-session-state-cookie-0.18-r0.apk2025-04-20 08:21 4.6K 
[   ]perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk2025-04-20 08:21 4.6K 
[   ]perl-catalyst-plugin-session-store-dbic-0.14-r0.apk2025-06-13 18:19 5.6K 
[   ]perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk2025-06-13 18:19 6.2K 
[   ]perl-catalyst-plugin-session-store-delegate-0.06-r0.apk2025-06-13 18:19 4.2K 
[   ]perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk2025-06-13 18:19 4.3K 
[   ]perl-catalyst-plugin-session-store-file-0.18-r0.apk2025-06-14 02:47 3.4K 
[   ]perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk2025-06-14 02:47 3.8K 
[   ]perl-catalyst-plugin-stacktrace-0.12-r0.apk2025-06-14 02:47 4.4K 
[   ]perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk2025-06-14 02:47 3.6K 
[   ]perl-catalyst-plugin-static-simple-0.37-r0.apk2025-03-21 11:54 8.4K 
[   ]perl-catalyst-plugin-static-simple-doc-0.37-r0.apk2025-03-21 11:54 7.2K 
[   ]perl-catalyst-runtime-5.90132-r0.apk2025-03-19 18:48 149K 
[   ]perl-catalyst-runtime-doc-5.90132-r0.apk2025-03-19 18:48 216K 
[   ]perl-catalyst-view-email-0.36-r0.apk2025-04-07 08:33 8.8K 
[   ]perl-catalyst-view-email-doc-0.36-r0.apk2025-04-07 08:33 10K 
[   ]perl-catalyst-view-tt-0.46-r0.apk2025-04-15 14:08 13K 
[   ]perl-catalyst-view-tt-doc-0.46-r0.apk2025-04-15 14:08 12K 
[   ]perl-catalystx-component-traits-0.19-r0.apk2025-05-07 10:21 4.1K 
[   ]perl-catalystx-component-traits-doc-0.19-r0.apk2025-05-07 10:21 3.7K 
[   ]perl-catalystx-injectcomponent-0.025-r0.apk2025-05-01 07:16 3.2K 
[   ]perl-catalystx-injectcomponent-doc-0.025-r0.apk2025-05-01 07:16 3.3K 
[   ]perl-catalystx-leakchecker-0.06-r0.apk2025-06-15 05:41 3.1K 
[   ]perl-catalystx-leakchecker-doc-0.06-r0.apk2025-06-15 05:41 3.3K 
[   ]perl-catalystx-profile-0.02-r0.apk2025-06-15 05:41 2.8K 
[   ]perl-catalystx-profile-doc-0.02-r0.apk2025-06-15 05:41 4.3K 
[   ]perl-catalystx-repl-0.04-r0.apk2025-04-27 15:07 3.0K 
[   ]perl-catalystx-repl-doc-0.04-r0.apk2025-04-27 15:07 3.4K 
[   ]perl-catalystx-simplelogin-0.21-r0.apk2025-05-07 10:21 10K 
[   ]perl-catalystx-simplelogin-doc-0.21-r0.apk2025-05-07 10:21 24K 
[   ]perl-cgi-expand-2.05-r4.apk2023-07-06 17:21 6.6K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2023-07-06 17:21 5.9K 
[   ]perl-cgi-simple-1.282-r0.apk2025-08-30 04:27 55K 
[   ]perl-cgi-simple-doc-1.282-r0.apk2025-08-30 04:27 42K 
[   ]perl-cgi-struct-1.21-r0.apk2025-03-14 22:16 7.6K 
[   ]perl-cgi-struct-doc-1.21-r0.apk2025-03-14 22:16 6.5K 
[   ]perl-check-unitcheck-0.13-r2.apk2025-07-01 13:33 5.5K 
[   ]perl-check-unitcheck-doc-0.13-r2.apk2025-07-01 13:33 3.3K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2023-07-06 17:21 12K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2023-07-06 17:21 7.2K 
[   ]perl-class-c3-0.35-r1.apk2023-07-06 17:21 9.2K 
[   ]perl-class-c3-adopt-next-0.14-r0.apk2025-03-14 22:16 4.8K 
[   ]perl-class-c3-adopt-next-doc-0.14-r0.apk2025-03-14 22:16 4.4K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2023-07-06 17:21 5.3K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2023-07-06 17:21 5.1K 
[   ]perl-class-c3-doc-0.35-r1.apk2023-07-06 17:21 9.0K 
[   ]perl-class-inner-0.200001-r5.apk2023-12-17 22:56 3.7K 
[   ]perl-class-inner-doc-0.200001-r5.apk2023-12-17 22:56 3.9K 
[   ]perl-class-unload-0.11-r0.apk2025-06-04 18:41 2.3K 
[   ]perl-class-unload-doc-0.11-r0.apk2025-06-04 18:41 2.8K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 04:59 12K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 04:59 12K 
[   ]perl-clipboard-0.32-r1.apk2025-10-15 12:16 10K 
[   ]perl-clipboard-doc-0.32-r1.apk2025-10-15 12:16 27K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-04-30 01:06 7.0K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-04-30 01:06 4.9K 
[   ]perl-color-rgb-util-0.609-r0.apk2025-03-19 18:48 9.2K 
[   ]perl-color-rgb-util-doc-0.609-r0.apk2025-03-19 18:48 7.1K 
[   ]perl-conf-libconfig-1.0.3-r2.apk2025-07-01 13:33 24K 
[   ]perl-conf-libconfig-doc-1.0.3-r2.apk2025-07-01 13:33 5.2K 
[   ]perl-constant-defer-6-r5.apk2023-07-06 17:21 7.1K 
[   ]perl-constant-defer-doc-6-r5.apk2023-07-06 17:21 6.7K 
[   ]perl-constant-generate-0.17-r5.apk2023-07-06 17:21 8.5K 
[   ]perl-constant-generate-doc-0.17-r5.apk2023-07-06 17:21 6.8K 
[   ]perl-context-preserve-0.03-r4.apk2023-07-06 17:21 3.6K 
[   ]perl-context-preserve-doc-0.03-r4.apk2023-07-06 17:21 4.0K 
[   ]perl-cpan-audit-20250829.001-r0.apk2025-09-26 04:02 13K 
[   ]perl-cpan-audit-doc-20250829.001-r0.apk2025-09-26 04:02 12K 
[   ]perl-cpan-changes-0.500005-r0.apk2025-08-16 15:18 13K 
[   ]perl-cpan-changes-doc-0.500005-r0.apk2025-08-16 15:18 18K 
[   ]perl-cpansa-db-20250807.001-r0.apk2025-09-01 13:19 1.3M 
[   ]perl-cpansa-db-doc-20250807.001-r0.apk2025-09-01 13:19 5.3K 
[   ]perl-crypt-blowfish-2.14-r1.apk2025-07-01 13:33 12K 
[   ]perl-crypt-blowfish-doc-2.14-r1.apk2025-07-01 13:33 3.8K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-01-17 16:50 11K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-01-17 16:50 8.5K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2023-07-06 17:21 6.7K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2023-07-06 17:21 6.1K 
[   ]perl-css-inliner-4027-r0.apk2025-06-16 13:04 16K 
[   ]perl-css-inliner-doc-4027-r0.apk2025-06-16 13:04 9.2K 
[   ]perl-css-object-0.2.0-r0.apk2024-09-05 16:45 22K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-09-05 16:45 32K 
[   ]perl-daemon-control-0.001010-r2.apk2023-07-06 17:21 12K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2023-07-06 17:21 8.0K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2023-07-06 17:21 15K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2023-07-06 17:21 15K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2023-07-06 17:21 4.7K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2023-07-06 17:21 5.1K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2023-07-06 17:21 9.4K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2023-07-06 17:21 8.2K 
[   ]perl-dancer-session-cookie-0.30-r2.apk2023-07-06 17:21 5.2K 
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2023-07-06 17:21 3.9K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 04:59 163K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 04:59 301K 
[   ]perl-data-binary-0.01-r0.apk2025-07-16 12:26 2.5K 
[   ]perl-data-binary-doc-0.01-r0.apk2025-07-16 12:26 2.8K 
[   ]perl-data-checks-0.10-r1.apk2025-07-01 13:33 22K 
[   ]perl-data-checks-doc-0.10-r1.apk2025-07-01 13:33 8.0K 
[   ]perl-data-clone-0.006-r1.apk2025-07-01 13:33 9.1K 
[   ]perl-data-clone-doc-0.006-r1.apk2025-07-01 13:33 4.3K 
[   ]perl-data-dump-streamer-2.42-r1.apk2025-07-01 13:33 50K 
[   ]perl-data-dump-streamer-doc-2.42-r1.apk2025-07-01 13:33 17K 
[   ]perl-data-section-0.200008-r0.apk2025-07-07 17:12 6.2K 
[   ]perl-data-section-doc-0.200008-r0.apk2025-07-07 17:12 5.4K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-01-14 12:53 5.6K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-01-14 12:53 5.2K 
[   ]perl-data-validate-ip-0.31-r1.apk2023-07-06 17:21 8.6K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2023-07-06 17:21 5.6K 
[   ]perl-data-visitor-0.32-r0.apk2025-03-21 02:23 9.5K 
[   ]perl-data-visitor-doc-0.32-r0.apk2025-03-21 02:23 8.1K 
[   ]perl-database-async-0.019-r0.apk2024-01-17 16:50 23K 
[   ]perl-database-async-doc-0.019-r0.apk2024-01-17 16:50 29K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-09-25 17:32 14K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-09-25 17:32 9.1K 
[   ]perl-date-range-1.41-r0.apk2025-07-08 16:56 3.5K 
[   ]perl-date-range-doc-1.41-r0.apk2025-07-08 16:56 3.8K 
[   ]perl-datetime-astro-1.04-r0.apk2025-07-21 09:10 36K 
[   ]perl-datetime-astro-doc-1.04-r0.apk2025-07-21 09:10 5.8K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 15:08 2.9K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 15:08 3.5K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2025-01-01 14:19 18K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2025-01-01 14:19 12K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 15:08 4.1K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 15:08 3.9K 
[   ]perl-datetime-set-0.3900-r0.apk2025-07-21 09:10 20K 
[   ]perl-datetime-set-doc-0.3900-r0.apk2025-07-21 09:10 18K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-03-03 07:17 2.3K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-03-03 07:17 7.4K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-09-05 16:45 12K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-09-05 16:45 15K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2023-07-06 17:21 5.6K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2023-07-06 17:21 5.1K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 17:34 355K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 06:03 7.6K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 06:03 9.2K 
[   ]perl-dbix-class-cursor-cached-1.001004-r0.apk2025-06-09 16:30 3.0K 
[   ]perl-dbix-class-cursor-cached-doc-1.001004-r0.apk2025-06-09 16:30 2.8K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 17:34 420K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-17 05:00 47K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-17 05:00 121K 
[   ]perl-dbix-class-schema-loader-0.07053-r0.apk2025-06-09 16:30 97K 
[   ]perl-dbix-class-schema-loader-doc-0.07053-r0.apk2025-06-09 16:30 77K 
[   ]perl-dbix-connector-0.60-r0.apk2025-01-01 02:42 14K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2025-01-01 02:42 22K 
[   ]perl-dbix-datasource-0.02-r5.apk2023-07-06 17:21 4.0K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2023-07-06 17:21 7.3K 
[   ]perl-dbix-introspector-0.001005-r4.apk2023-07-06 17:21 7.8K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2023-07-06 17:21 8.2K 
[   ]perl-dbix-lite-0.36-r0.apk2025-01-01 02:42 18K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2025-01-01 02:42 17K 
[   ]perl-devel-confess-0.009004-r0.apk2024-03-03 07:17 11K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-03-03 07:17 6.4K 
[   ]perl-devel-findperl-0.016-r0.apk2025-06-08 21:31 4.5K 
[   ]perl-devel-findperl-doc-0.016-r0.apk2025-06-08 21:31 3.6K 
[   ]perl-devel-leak-0.03-r14.apk2025-07-01 13:33 6.5K 
[   ]perl-devel-leak-doc-0.03-r14.apk2025-07-01 13:33 3.1K 
[   ]perl-devel-nytprof-6.14-r1.apk2025-07-01 13:33 387K 
[   ]perl-devel-nytprof-doc-6.14-r1.apk2025-07-01 13:33 50K 
[   ]perl-devel-refcount-0.10-r2.apk2025-07-01 13:33 5.7K 
[   ]perl-devel-refcount-doc-0.10-r2.apk2025-07-01 13:33 4.0K 
[   ]perl-devel-repl-1.003029-r0.apk2025-04-24 15:00 28K 
[   ]perl-devel-repl-doc-1.003029-r0.apk2025-04-24 15:00 60K 
[   ]perl-devel-stacktrace-withlexicals-2.01-r0.apk2025-04-26 10:23 3.4K 
[   ]perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk2025-04-26 10:23 3.2K 
[   ]perl-devel-trace-0.12-r0.apk2025-07-07 05:43 3.1K 
[   ]perl-devel-trace-doc-0.12-r0.apk2025-07-07 05:43 3.2K 
[   ]perl-digest-bcrypt-1.212-r1.apk2023-07-06 17:21 5.3K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2023-07-06 17:21 4.9K 
[   ]perl-digest-crc-0.24-r2.apk2025-07-01 13:33 8.8K 
[   ]perl-digest-crc-doc-0.24-r2.apk2025-07-01 13:33 3.0K 
[   ]perl-dns-unbound-0.29-r2.apk2025-07-01 13:33 23K 
[   ]perl-dns-unbound-anyevent-0.29-r2.apk2025-07-01 13:33 2.1K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk2025-07-01 13:33 1.7K 
[   ]perl-dns-unbound-doc-0.29-r2.apk2025-07-01 13:33 15K 
[   ]perl-dns-unbound-ioasync-0.29-r2.apk2025-07-01 13:33 2.2K 
[   ]perl-dns-unbound-mojo-0.29-r2.apk2025-07-01 13:33 2.4K 
[   ]perl-email-abstract-3.010-r0.apk2023-09-03 18:14 7.4K 
[   ]perl-email-abstract-doc-3.010-r0.apk2023-09-03 18:14 13K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2023-07-06 17:21 3.7K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2023-07-06 17:21 3.6K 
[   ]perl-email-reply-1.204-r5.apk2023-07-06 17:21 5.9K 
[   ]perl-email-reply-doc-1.204-r5.apk2023-07-06 17:21 4.5K 
[   ]perl-email-sender-2.601-r0.apk2025-04-07 08:33 24K 
[   ]perl-email-sender-doc-2.601-r0.apk2025-04-07 08:33 42K 
[   ]perl-encode-detect-1.01-r1.apk2025-07-01 13:33 72K 
[   ]perl-encode-detect-doc-1.01-r1.apk2025-07-01 13:33 4.6K 
[   ]perl-ev-hiredis-0.07-r3.apk2025-07-01 13:33 12K 
[   ]perl-ev-hiredis-doc-0.07-r3.apk2025-07-01 13:33 4.0K 
[   ]perl-expect-1.38-r0.apk2025-04-19 19:21 32K 
[   ]perl-expect-doc-1.38-r0.apk2025-04-19 19:21 20K 
[   ]perl-expect-simple-0.04-r0.apk2025-04-20 08:21 5.2K 
[   ]perl-expect-simple-doc-0.04-r0.apk2025-04-20 08:21 4.6K 
[   ]perl-extutils-makemaker-7.76-r0.apk2025-08-16 15:18 174K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2023-07-06 17:21 43K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2023-07-06 17:21 21K 
[   ]perl-feed-find-0.13-r0.apk2024-01-14 15:57 3.6K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-01-14 15:57 3.5K 
[   ]perl-ffi-c-0.15-r0.apk2024-02-03 09:56 20K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-02-03 09:56 28K 
[   ]perl-ffi-platypus-2.10-r1.apk2025-07-01 13:33 178K 
[   ]perl-ffi-platypus-doc-2.10-r1.apk2025-07-01 13:33 148K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-02-03 09:56 4.9K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-02-03 09:56 4.9K 
[   ]perl-file-changenotify-0.31-r0.apk2025-03-21 11:54 12K 
[   ]perl-file-changenotify-doc-0.31-r0.apk2025-03-21 11:54 14K 
[   ]perl-file-find-object-0.3.9-r0.apk2025-07-16 12:26 8.9K 
[   ]perl-file-find-object-doc-0.3.9-r0.apk2025-07-16 12:26 13K 
[   ]perl-file-mmagic-xs-0.09008-r5.apk2025-07-01 13:33 29K 
[   ]perl-file-mmagic-xs-doc-0.09008-r5.apk2025-07-01 13:33 4.0K 
[   ]perl-file-rename-2.02-r0.apk2024-01-01 12:23 7.3K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-01-01 12:23 12K 
[   ]perl-file-treecreate-0.0.1-r0.apk2025-07-16 12:26 3.8K 
[   ]perl-file-treecreate-doc-0.0.1-r0.apk2025-07-16 12:26 4.1K 
[   ]perl-finance-quote-1.66-r0.apk2025-07-16 12:26 106K 
[   ]perl-finance-quote-doc-1.66-r0.apk2025-07-16 12:26 87K 
[   ]perl-flowd-0.9.1-r11.apk2025-07-01 13:33 22K 
[   ]perl-flowd-doc-0.9.1-r11.apk2025-07-01 13:33 3.0K 
[   ]perl-freezethaw-0.5001-r3.apk2025-10-18 10:33 9.6K 
[   ]perl-freezethaw-doc-0.5001-r3.apk2025-10-18 10:33 5.4K 
[   ]perl-full-1.004-r0.apk2024-10-15 10:04 6.9K 
[   ]perl-full-doc-1.004-r0.apk2024-10-15 10:04 10K 
[   ]perl-future-asyncawait-hooks-0.02-r1.apk2025-07-01 13:33 7.7K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r1.apk2025-07-01 13:33 3.1K 
[   ]perl-future-http-0.17-r0.apk2024-04-30 01:06 9.0K 
[   ]perl-future-http-doc-0.17-r0.apk2024-04-30 01:06 15K 
[   ]perl-future-q-0.120-r0.apk2024-01-19 00:32 9.3K 
[   ]perl-future-q-doc-0.120-r0.apk2024-01-19 00:32 8.9K 
[   ]perl-future-queue-0.52-r0.apk2024-01-17 16:50 3.8K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-01-17 16:50 4.0K 
[   ]perl-gearman-2.004.015-r3.apk2024-01-04 01:15 27K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-01-04 01:15 20K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2025-01-01 02:42 14K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2025-01-01 02:42 11K 
[   ]perl-getopt-tabular-0.3-r4.apk2023-07-06 17:21 23K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2023-07-06 17:21 16K 
[   ]perl-git-raw-0.90-r4.apk2025-07-01 13:33 170K 
[   ]perl-git-raw-doc-0.90-r4.apk2025-07-01 13:33 119K 
[   ]perl-git-repository-1.325-r0.apk2024-02-05 16:10 16K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-02-05 16:10 31K 
[   ]perl-git-version-compare-1.005-r0.apk2024-02-05 16:10 5.1K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-02-05 16:10 4.6K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-05-20 08:14 15K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-05-20 08:14 22K 
[   ]perl-glib-object-introspection-0.052-r0.apk2025-09-28 04:37 59K 
[   ]perl-glib-object-introspection-doc-0.052-r0.apk2025-09-28 04:37 11K 
[   ]perl-graphql-client-0.605-r0.apk2024-01-18 23:56 6.9K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-01-18 23:56 7.6K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-01-18 23:56 14K 
[   ]perl-gtk2-1.24993-r7.apk2025-07-01 13:33 859K 
[   ]perl-gtk2-doc-1.24993-r7.apk2025-07-01 13:33 678K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2023-07-06 17:21 12K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2023-07-06 17:21 7.0K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2023-07-06 17:21 65K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2023-07-06 17:21 81K 
[   ]perl-gtk3-0.038-r1.apk2023-07-06 17:21 19K 
[   ]perl-gtk3-doc-0.038-r1.apk2023-07-06 17:21 8.9K 
[   ]perl-guard-1.023-r10.apk2025-07-01 13:33 8.0K 
[   ]perl-guard-doc-1.023-r10.apk2025-07-01 13:33 5.1K 
[   ]perl-hash-merge-extra-0.06-r0.apk2025-06-20 15:20 2.9K 
[   ]perl-hash-merge-extra-doc-0.06-r0.apk2025-06-20 15:20 3.1K 
[   ]perl-hash-ordered-0.014-r0.apk2024-01-19 00:32 9.5K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-01-19 00:32 19K 
[   ]perl-html-formatexternal-26-r0.apk2025-06-07 14:55 17K 
[   ]perl-html-formatexternal-doc-26-r0.apk2025-06-07 14:55 23K 
[   ]perl-html-formhandler-0.40068-r0.apk2025-05-01 07:16 135K 
[   ]perl-html-formhandler-doc-0.40068-r0.apk2025-05-01 07:16 323K 
[   ]perl-html-gumbo-0.18-r2.apk2025-07-01 13:33 14K 
[   ]perl-html-gumbo-doc-0.18-r2.apk2025-07-01 13:33 5.2K 
[   ]perl-html-object-0.6.0-r0.apk2025-10-16 16:32 343K 
[   ]perl-html-object-doc-0.6.0-r0.apk2025-10-16 16:32 473K 
[   ]perl-html-query-0.09-r0.apk2025-06-16 13:04 14K 
[   ]perl-html-query-doc-0.09-r0.apk2025-06-16 13:04 9.8K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-03-03 07:17 6.0K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-03-03 07:17 3.8K 
[   ]perl-html-tableextract-2.15-r4.apk2023-07-06 17:21 17K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2023-07-06 17:21 9.7K 
[   ]perl-html-treebuilder-xpath-0.14-r0.apk2025-07-16 12:26 7.5K 
[   ]perl-html-treebuilder-xpath-doc-0.14-r0.apk2025-07-16 12:26 4.0K 
[   ]perl-http-headers-actionpack-0.09-r0.apk2025-06-13 18:18 18K 
[   ]perl-http-headers-actionpack-doc-0.09-r0.apk2025-06-13 18:18 40K 
[   ]perl-http-thin-0.006-r0.apk2024-01-13 12:00 2.8K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-01-13 12:00 3.2K 
[   ]perl-http-xsheaders-0.400005-r2.apk2025-07-01 13:33 20K 
[   ]perl-http-xsheaders-doc-0.400005-r2.apk2025-07-01 13:33 6.1K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2023-07-06 17:21 4.0K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2023-07-06 17:21 3.8K 
[   ]perl-imager-1.028-r1.apk2025-07-01 13:33 516K 
[   ]perl-imager-doc-1.028-r1.apk2025-07-01 13:33 286K 
[   ]perl-indirect-0.39-r2.apk2025-07-01 13:33 15K 
[   ]perl-indirect-doc-0.39-r2.apk2025-07-01 13:33 6.1K 
[   ]perl-io-handle-util-0.02-r0.apk2025-06-11 16:35 10K 
[   ]perl-io-handle-util-doc-0.02-r0.apk2025-06-11 16:35 9.6K 
[   ]perl-io-interactive-1.027-r0.apk2025-09-01 13:19 5.1K 
[   ]perl-io-interactive-doc-1.027-r0.apk2025-09-01 13:19 4.9K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-09 03:16 75K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-09 03:16 68K 
[   ]perl-io-sessiondata-1.03-r3.apk2023-07-06 17:21 5.5K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-01-17 16:50 2.9K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-01-17 16:50 3.3K 
[   ]perl-json-validator-5.15-r0.apk2025-03-19 18:48 58K 
[   ]perl-json-validator-doc-5.15-r0.apk2025-03-19 18:48 34K 
[   ]perl-lexical-persistence-1.023-r0.apk2025-04-26 08:14 7.3K 
[   ]perl-lexical-persistence-doc-1.023-r0.apk2025-04-26 08:14 7.3K 
[   ]perl-lib-abs-0.95-r0.apk2024-08-29 10:07 3.6K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-08-29 10:07 3.7K 
[   ]perl-libapreq2-2.17-r3.apk2025-07-01 13:33 97K 
[   ]perl-libapreq2-dev-2.17-r3.apk2025-07-01 13:33 83K 
[   ]perl-libapreq2-doc-2.17-r3.apk2025-07-01 13:33 37K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 13:38 305K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 13:38 571K 
[   ]perl-lingua-en-findnumber-1.32-r0.apk2025-06-08 21:31 3.0K 
[   ]perl-lingua-en-findnumber-doc-1.32-r0.apk2025-06-08 21:31 3.2K 
[   ]perl-lingua-en-inflect-number-1.12-r0.apk2025-06-08 21:31 2.9K 
[   ]perl-lingua-en-inflect-number-doc-1.12-r0.apk2025-06-08 21:31 3.3K 
[   ]perl-lingua-en-inflect-phrase-0.20-r0.apk2025-06-09 12:09 5.1K 
[   ]perl-lingua-en-inflect-phrase-doc-0.20-r0.apk2025-06-09 12:09 3.6K 
[   ]perl-lingua-en-number-isordinal-0.05-r0.apk2025-06-08 21:31 2.8K 
[   ]perl-lingua-en-number-isordinal-doc-0.05-r0.apk2025-06-08 21:31 3.2K 
[   ]perl-lingua-en-tagger-0.31-r0.apk2025-06-08 21:31 546K 
[   ]perl-lingua-en-tagger-doc-0.31-r0.apk2025-06-08 21:31 4.2K 
[   ]perl-lingua-en-words2nums-0.18-r0.apk2025-06-07 14:56 4.3K 
[   ]perl-lingua-en-words2nums-doc-0.18-r0.apk2025-06-07 14:56 3.3K 
[   ]perl-lingua-pt-stemmer-0.02-r0.apk2025-06-07 02:00 5.2K 
[   ]perl-lingua-pt-stemmer-doc-0.02-r0.apk2025-06-07 02:00 4.0K 
[   ]perl-lingua-stem-2.31-r0.apk2025-06-08 21:31 12K 
[   ]perl-lingua-stem-doc-2.31-r0.apk2025-06-08 21:31 33K 
[   ]perl-lingua-stem-fr-0.02-r0.apk2025-06-06 14:58 5.9K 
[   ]perl-lingua-stem-fr-doc-0.02-r0.apk2025-06-06 14:58 3.7K 
[   ]perl-lingua-stem-it-0.02-r0.apk2025-06-06 14:58 5.0K 
[   ]perl-lingua-stem-it-doc-0.02-r0.apk2025-06-06 14:58 3.3K 
[   ]perl-lingua-stem-ru-0.04-r0.apk2025-06-06 14:58 3.9K 
[   ]perl-lingua-stem-ru-doc-0.04-r0.apk2025-06-06 14:58 3.5K 
[   ]perl-lingua-stem-snowball-da-1.01-r0.apk2025-06-08 21:31 4.1K 
[   ]perl-lingua-stem-snowball-da-doc-1.01-r0.apk2025-06-08 21:31 2.8K 
[   ]perl-linux-pid-0.04-r15.apk2025-07-01 13:33 4.3K 
[   ]perl-linux-pid-doc-0.04-r15.apk2025-07-01 13:33 2.8K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-01-17 16:50 9.7K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-01-17 16:50 11K 
[   ]perl-list-binarysearch-xs-0.09-r2.apk2025-07-01 13:33 12K 
[   ]perl-list-binarysearch-xs-doc-0.09-r2.apk2025-07-01 13:33 7.9K 
[   ]perl-list-keywords-0.11-r1.apk2025-07-01 13:33 14K 
[   ]perl-list-keywords-doc-0.11-r1.apk2025-07-01 13:33 5.3K 
[   ]perl-log-fu-0.31-r4.apk2023-07-06 17:21 10K 
[   ]perl-log-fu-doc-0.31-r4.apk2023-07-06 17:21 7.0K 
[   ]perl-log-message-0.08-r3.apk2023-07-06 17:21 10K 
[   ]perl-log-message-doc-0.08-r3.apk2023-07-06 17:21 12K 
[   ]perl-log-message-simple-0.10-r3.apk2023-07-06 17:21 4.0K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2023-07-06 17:21 3.7K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2023-07-06 17:21 6.1K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2023-07-06 17:21 5.4K 
[   ]perl-mastodon-client-0.017-r0.apk2024-01-13 12:00 22K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-01-13 12:00 33K 
[   ]perl-math-int64-0.57-r2.apk2025-07-01 13:33 28K 
[   ]perl-math-int64-doc-0.57-r2.apk2025-07-01 13:33 10K 
[   ]perl-math-libm-1.00-r15.apk2025-07-01 13:33 9.8K 
[   ]perl-math-libm-doc-1.00-r15.apk2025-07-01 13:33 2.9K 
[   ]perl-math-random-0.75-r0.apk2025-10-01 05:50 35K 
[   ]perl-math-random-doc-0.75-r0.apk2025-10-01 05:50 11K 
[   ]perl-math-random-isaac-xs-1.004-r9.apk2025-07-01 13:33 7.4K 
[   ]perl-math-random-isaac-xs-doc-1.004-r9.apk2025-07-01 13:33 3.6K 
[   ]perl-mce-1.902-r0.apk2025-09-26 04:02 134K 
[   ]perl-mce-doc-1.902-r0.apk2025-09-26 04:02 171K 
[   ]perl-memoize-expirelru-0.56-r0.apk2025-06-08 21:31 6.0K 
[   ]perl-memoize-expirelru-doc-0.56-r0.apk2025-06-08 21:31 3.6K 
[   ]perl-minion-11.0-r0.apk2025-08-28 04:28 1.5M 
[   ]perl-minion-backend-pg-11.0-r0.apk2025-08-28 04:28 9.3K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-03-03 07:17 10K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-03-03 07:17 6.3K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-03-03 07:17 9.9K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-03-03 07:17 6.5K 
[   ]perl-minion-doc-11.0-r0.apk2025-08-28 04:28 50K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-01-19 00:32 3.2K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-01-19 00:32 3.5K 
[   ]perl-module-cpants-analyse-1.02-r0.apk2025-07-16 12:26 26K 
[   ]perl-module-cpants-analyse-doc-1.02-r0.apk2025-07-16 12:26 28K 
[   ]perl-module-extract-version-1.119-r0.apk2025-09-26 04:02 3.0K 
[   ]perl-module-extract-version-doc-1.119-r0.apk2025-09-26 04:02 3.2K 
[   ]perl-module-generic-1.1.2-r0.apk2025-10-19 17:44 316K 
[   ]perl-module-generic-doc-1.1.2-r0.apk2025-10-19 17:44 259K 
[   ]perl-module-path-0.19-r0.apk2025-06-08 21:31 4.5K 
[   ]perl-module-path-doc-0.19-r0.apk2025-06-08 21:31 5.5K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-01-12 15:02 4.5K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-01-12 15:02 4.1K 
[   ]perl-mojo-redis-3.29-r0.apk2024-01-12 15:02 25K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-01-12 15:02 24K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-01-12 15:02 16K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-01-12 15:02 19K 
[   ]perl-mojolicious-plugin-openapi-5.11-r0.apk2025-03-19 18:48 28K 
[   ]perl-mojolicious-plugin-openapi-doc-5.11-r0.apk2025-03-19 18:48 33K 
[   ]perl-moosex-configfromfile-0.14-r0.apk2025-03-16 07:38 3.8K 
[   ]perl-moosex-configfromfile-doc-0.14-r0.apk2025-03-16 07:38 3.9K 
[   ]perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk2025-03-16 07:38 5.2K 
[   ]perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk2025-03-16 07:38 5.1K 
[   ]perl-moosex-getopt-0.78-r0.apk2025-03-16 07:38 13K 
[   ]perl-moosex-getopt-doc-0.78-r0.apk2025-03-16 07:38 24K 
[   ]perl-moosex-markasmethods-0.15-r0.apk2025-06-04 18:41 4.8K 
[   ]perl-moosex-markasmethods-doc-0.15-r0.apk2025-06-04 18:41 4.9K 
[   ]perl-moosex-methodattributes-0.32-r0.apk2025-03-19 18:48 8.3K 
[   ]perl-moosex-methodattributes-doc-0.32-r0.apk2025-03-19 18:48 22K 
[   ]perl-moosex-nonmoose-0.27-r1.apk2025-06-19 14:40 7.9K 
[   ]perl-moosex-nonmoose-doc-0.27-r1.apk2025-06-19 14:40 9.2K 
[   ]perl-moosex-object-pluggable-0.0014-r0.apk2025-04-21 17:33 5.7K 
[   ]perl-moosex-object-pluggable-doc-0.0014-r0.apk2025-04-21 17:33 5.2K 
[   ]perl-moosex-relatedclassroles-0.004-r0.apk2025-05-01 07:16 2.5K 
[   ]perl-moosex-relatedclassroles-doc-0.004-r0.apk2025-05-01 07:16 3.1K 
[   ]perl-moosex-role-parameterized-1.11-r0.apk2025-03-15 14:09 7.5K 
[   ]perl-moosex-role-parameterized-doc-1.11-r0.apk2025-03-15 14:09 23K 
[   ]perl-moosex-simpleconfig-0.11-r0.apk2025-03-16 07:38 3.5K 
[   ]perl-moosex-simpleconfig-doc-0.11-r0.apk2025-03-16 07:38 3.9K 
[   ]perl-moosex-traits-pluggable-0.12-r0.apk2025-05-01 07:16 4.7K 
[   ]perl-moosex-traits-pluggable-doc-0.12-r0.apk2025-05-01 07:16 3.9K 
[   ]perl-moosex-types-loadableclass-0.016-r0.apk2025-04-30 16:22 3.0K 
[   ]perl-moosex-types-loadableclass-doc-0.016-r0.apk2025-04-30 16:22 3.5K 
[   ]perl-moosex-types-path-tiny-0.012-r0.apk2025-03-15 14:09 3.7K 
[   ]perl-moosex-types-path-tiny-doc-0.012-r0.apk2025-03-15 14:09 3.9K 
[   ]perl-moosex-types-stringlike-0.003-r0.apk2025-03-15 14:09 2.8K 
[   ]perl-moosex-types-stringlike-doc-0.003-r0.apk2025-03-15 14:09 3.3K 
[   ]perl-moox-typetiny-0.002003-r0.apk2025-06-09 12:09 3.3K 
[   ]perl-moox-typetiny-doc-0.002003-r0.apk2025-06-09 12:09 2.9K 
[   ]perl-multidimensional-0.014-r1.apk2025-07-01 13:33 4.4K 
[   ]perl-multidimensional-doc-0.014-r1.apk2025-07-01 13:33 2.9K 
[   ]perl-musicbrainz-discid-0.06-r2.apk2025-07-01 13:33 9.1K 
[   ]perl-musicbrainz-discid-doc-0.06-r2.apk2025-07-01 13:33 4.1K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-01-17 16:50 3.2K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-01-17 16:50 3.2K 
[   ]perl-net-amqp-rabbitmq-2.40012-r1.apk2025-07-01 13:33 82K 
[   ]perl-net-amqp-rabbitmq-doc-2.40012-r1.apk2025-07-01 13:33 9.5K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 17:03 58K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 17:03 66K 
[   ]perl-net-async-redis-xs-1.001-r2.apk2025-07-01 13:33 8.4K 
[   ]perl-net-async-redis-xs-doc-1.001-r2.apk2025-07-01 13:33 5.1K 
[   ]perl-net-curl-0.57-r1.apk2025-07-01 13:33 59K 
[   ]perl-net-curl-doc-0.57-r1.apk2025-07-01 13:33 39K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-02-05 16:13 8.6K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-02-05 16:13 2.5K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-02-05 16:13 11K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-02-05 16:13 2.7K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-02-05 16:13 2.9K 
[   ]perl-net-idn-encode-2.500-r2.apk2025-07-01 13:33 83K 
[   ]perl-net-idn-encode-doc-2.500-r2.apk2025-07-01 13:33 22K 
[   ]perl-net-irr-0.10-r0.apk2024-03-13 16:15 5.2K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-03-13 16:15 4.9K 
[   ]perl-net-jabber-2.0-r0.apk2024-01-12 15:02 50K 
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-01-12 15:02 13K 
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-01-12 15:02 7.3K 
[   ]perl-net-jabber-doc-2.0-r0.apk2024-01-12 15:02 48K 
[   ]perl-net-netmask-2.0003-r0.apk2025-05-18 05:06 13K 
[   ]perl-net-netmask-doc-2.0003-r0.apk2025-05-18 05:06 8.3K 
[   ]perl-net-patricia-1.23-r0.apk2025-08-16 15:18 21K 
[   ]perl-net-patricia-doc-1.23-r0.apk2025-08-16 15:18 6.0K 
[   ]perl-net-xmpp-1.05-r0.apk2024-01-12 15:02 57K 
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-01-12 15:02 43K 
[   ]perl-netaddr-mac-0.98-r1.apk2023-07-06 17:21 11K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2023-07-06 17:21 7.7K 
[   ]perl-nice-try-1.3.17-r0.apk2025-07-20 01:38 28K 
[   ]perl-nice-try-doc-1.3.17-r0.apk2025-07-20 01:38 12K 
[   ]perl-number-format-1.76-r1.apk2023-07-06 17:21 15K 
[   ]perl-number-format-doc-1.76-r1.apk2023-07-06 17:21 8.8K 
[   ]perl-number-misc-1.2-r5.apk2023-12-14 16:55 4.9K 
[   ]perl-number-misc-doc-1.2-r5.apk2023-12-14 16:55 4.1K 
[   ]perl-number-tolerant-1.710-r0.apk2023-08-19 19:23 15K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2023-08-19 19:23 25K 
[   ]perl-object-array-0.060-r0.apk2024-08-29 10:07 5.4K 
[   ]perl-object-array-doc-0.060-r0.apk2024-08-29 10:07 6.7K 
[   ]perl-object-pad-fieldattr-checked-0.12-r1.apk2025-07-01 13:33 7.9K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r1.apk2025-07-01 13:33 4.3K 
[   ]perl-object-signature-1.08-r0.apk2025-04-07 08:33 3.4K 
[   ]perl-object-signature-doc-1.08-r0.apk2025-04-07 08:33 5.2K 
[   ]perl-openapi-client-1.07-r0.apk2024-01-14 12:53 8.4K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-01-14 12:53 7.1K 
[   ]perl-opentracing-1.006-r0.apk2024-01-17 16:50 18K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-01-17 16:50 32K 
[   ]perl-pango-1.227-r12.apk2025-07-01 13:33 78K 
[   ]perl-pango-doc-1.227-r12.apk2025-07-01 13:33 82K 
[   ]perl-parse-distname-0.05-r0.apk2025-07-16 12:26 5.2K 
[   ]perl-parse-distname-doc-0.05-r0.apk2025-07-16 12:26 4.1K 
[   ]perl-path-dispatcher-1.08-r0.apk2025-06-09 12:09 14K 
[   ]perl-path-dispatcher-doc-1.08-r0.apk2025-06-09 12:09 37K 
[   ]perl-path-iter-0.2-r3.apk2023-07-06 17:21 5.0K 
[   ]perl-path-iter-doc-0.2-r3.apk2023-07-06 17:21 4.9K 
[   ]perl-perlio-locale-0.10-r13.apk2025-07-01 13:33 4.1K 
[   ]perl-perlio-locale-doc-0.10-r13.apk2025-07-01 13:33 2.9K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2023-07-06 17:21 3.6K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2023-07-06 17:21 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 09:37 2.8K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 09:37 2.8K 
[   ]perl-plack-middleware-methodoverride-0.20-r0.apk2025-03-19 18:48 3.4K 
[   ]perl-plack-middleware-methodoverride-doc-0.20-r0.apk2025-03-19 18:48 3.8K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 14:26 2.2K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 14:26 2.8K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2023-07-06 17:21 2.9K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2023-07-06 17:21 2.8K 
[   ]perl-plack-test-externalserver-0.02-r0.apk2025-03-19 18:48 2.6K 
[   ]perl-plack-test-externalserver-doc-0.02-r0.apk2025-03-19 18:48 2.9K 
[   ]perl-pod-cpandoc-0.16-r6.apk2023-07-06 17:21 4.3K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2023-07-06 17:21 4.6K 
[   ]perl-pod-tidy-0.10-r1.apk2023-07-06 17:21 10K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2023-07-06 17:21 10K 
[   ]perl-power-tools-1.052-r0.apk2025-07-21 09:10 365K 
[   ]perl-power-tools-doc-1.052-r0.apk2025-07-21 09:10 247K 
[   ]perl-ppi-xs-0.910-r2.apk2025-07-01 13:33 5.4K 
[   ]perl-ppi-xs-doc-0.910-r2.apk2025-07-01 13:33 3.2K 
[   ]perl-prereqscanner-notquitelite-0.9917-r0.apk2025-07-16 12:26 41K 
[   ]perl-prereqscanner-notquitelite-doc-0.9917-r0.apk2025-07-16 12:26 49K 
[   ]perl-proc-guard-0.07-r4.apk2024-01-04 01:15 3.4K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-01-04 01:15 3.3K 
[   ]perl-promise-es6-0.28-r0.apk2024-01-19 00:32 10K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-01-19 00:32 2.3K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-01-19 00:32 12K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-01-19 00:32 2.0K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-01-19 00:32 2.7K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-01-19 00:32 2.3K 
[   ]perl-promise-me-0.6.0-r0.apk2025-08-10 16:56 27K 
[   ]perl-promise-me-doc-0.6.0-r0.apk2025-08-10 16:56 13K 
[   ]perl-promise-xs-0.20-r2.apk2025-07-01 13:33 24K 
[   ]perl-promise-xs-doc-0.20-r2.apk2025-07-01 13:33 8.5K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-01-27 15:50 19K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-01-27 15:50 37K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-09-03 04:00 5.4K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-09-03 04:00 4.8K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-01-12 15:02 3.2K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-01-12 15:02 3.1K 
[   ]perl-ref-util-xs-0.117-r9.apk2025-07-01 13:33 9.4K 
[   ]perl-ref-util-xs-doc-0.117-r9.apk2025-07-01 13:33 3.2K 
[   ]perl-regexp-trie-0.02-r0.apk2025-07-16 12:26 2.7K 
[   ]perl-regexp-trie-doc-0.02-r0.apk2025-07-16 12:26 3.0K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-01-13 12:00 3.4K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-01-13 12:00 3.7K 
[   ]perl-rxperl-6.29.8-r0.apk2024-08-29 10:07 26K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-01-19 04:23 2.5K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-01-19 04:23 8.7K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-08-29 10:07 22K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-01-19 04:23 2.6K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-01-19 04:23 8.7K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-08-08 03:38 2.6K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-08-08 03:38 8.8K 
[   ]perl-ryu-4.001-r0.apk2024-09-19 01:19 26K 
[   ]perl-ryu-async-0.020-r0.apk2024-01-17 16:50 7.3K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-01-17 16:50 12K 
[   ]perl-ryu-doc-4.001-r0.apk2024-09-19 01:19 35K 
[   ]perl-scalar-readonly-0.03-r2.apk2025-07-01 13:33 4.9K 
[   ]perl-scalar-readonly-doc-0.03-r2.apk2025-07-01 13:33 3.2K 
[   ]perl-session-storage-secure-1.000-r2.apk2023-07-06 17:21 8.6K 
[   ]perl-session-storage-secure-doc-1.000-r2.apk2023-07-06 17:21 7.1K 
[   ]perl-set-infinite-0.65-r0.apk2025-07-21 09:10 23K 
[   ]perl-set-infinite-doc-0.65-r0.apk2025-07-21 09:10 11K 
[   ]perl-shell-config-generate-0.34-r0.apk2025-06-08 21:31 7.6K 
[   ]perl-shell-config-generate-doc-0.34-r0.apk2025-06-08 21:31 6.6K 
[   ]perl-shell-guess-0.10-r0.apk2025-06-07 14:55 5.7K 
[   ]perl-shell-guess-doc-0.10-r0.apk2025-06-07 14:55 5.5K 
[   ]perl-signature-attribute-checked-0.06-r1.apk2025-07-01 13:33 7.3K 
[   ]perl-signature-attribute-checked-doc-0.06-r1.apk2025-07-01 13:33 4.4K 
[   ]perl-smart-comments-1.06-r0.apk2025-07-07 17:12 12K 
[   ]perl-smart-comments-doc-1.06-r0.apk2025-07-07 17:12 8.3K 
[   ]perl-snmp-5.0404-r14.apk2025-07-01 13:33 67K 
[   ]perl-snmp-doc-5.0404-r14.apk2025-07-01 13:33 14K 
[   ]perl-snmp-info-3.974000-r0.apk2025-09-26 04:02 337K 
[   ]perl-snmp-info-doc-3.974000-r0.apk2025-09-26 04:02 389K 
[   ]perl-snowball-norwegian-1.2-r0.apk2025-06-08 21:31 5.0K 
[   ]perl-snowball-norwegian-doc-1.2-r0.apk2025-06-08 21:31 3.6K 
[   ]perl-snowball-swedish-1.2-r0.apk2025-06-08 21:31 5.0K 
[   ]perl-snowball-swedish-doc-1.2-r0.apk2025-06-08 21:31 3.6K 
[   ]perl-soap-lite-1.27-r5.apk2023-07-06 17:21 110K 
[   ]perl-soap-lite-doc-1.27-r5.apk2023-07-06 17:21 90K 
[   ]perl-software-license-0.104007-r0.apk2025-07-08 16:54 106K 
[   ]perl-software-license-doc-0.104007-r0.apk2025-07-08 16:54 50K 
[   ]perl-sort-naturally-1.03-r4.apk2023-07-06 17:21 8.4K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2023-07-06 17:21 5.2K 
[   ]perl-sort-versions-1.62-r0.apk2024-03-03 07:17 3.5K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-03-03 07:17 3.9K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2023-07-06 17:21 29K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2023-07-06 17:21 20K 
[   ]perl-sql-abstract-more-1.44-r0.apk2025-07-16 12:26 27K 
[   ]perl-sql-abstract-more-doc-1.44-r0.apk2025-07-16 12:26 16K 
[   ]perl-starman-0.4017-r0.apk2023-09-14 11:49 13K 
[   ]perl-starman-doc-0.4017-r0.apk2023-09-14 11:49 9.7K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-08-29 10:07 9.0K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-08-29 10:07 50K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2023-07-15 01:32 30K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2023-07-15 01:32 37K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-03-03 07:17 6.4K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-03-03 07:17 6.7K 
[   ]perl-string-camelcase-0.04-r2.apk2023-07-06 17:21 2.9K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2023-07-06 17:21 3.2K 
[   ]perl-string-compare-constanttime-0.321-r7.apk2025-07-01 13:33 6.8K 
[   ]perl-string-compare-constanttime-doc-0.321-r7.apk2025-07-01 13:33 5.0K 
[   ]perl-string-crc32-2.100-r5.apk2025-07-01 13:33 6.6K 
[   ]perl-string-crc32-doc-2.100-r5.apk2025-07-01 13:33 3.2K 
[   ]perl-string-escape-2010.002-r0.apk2025-04-07 08:33 8.6K 
[   ]perl-string-escape-doc-2010.002-r0.apk2025-04-07 08:33 7.6K 
[   ]perl-string-random-0.32-r2.apk2024-01-07 19:48 7.7K 
[   ]perl-string-random-doc-0.32-r2.apk2024-01-07 19:48 5.9K 
[   ]perl-string-toidentifier-en-0.12-r0.apk2025-06-09 12:09 5.2K 
[   ]perl-string-toidentifier-en-doc-0.12-r0.apk2025-06-09 12:09 5.3K 
[   ]perl-syntax-keyword-match-0.15-r1.apk2025-07-01 13:33 13K 
[   ]perl-syntax-keyword-match-doc-0.15-r1.apk2025-07-01 13:33 7.6K 
[   ]perl-syntax-operator-equ-0.10-r1.apk2025-07-01 13:33 7.6K 
[   ]perl-syntax-operator-equ-doc-0.10-r1.apk2025-07-01 13:33 6.3K 
[   ]perl-syntax-operator-in-0.10-r1.apk2025-07-01 13:33 9.2K 
[   ]perl-syntax-operator-in-doc-0.10-r1.apk2025-07-01 13:33 5.6K 
[   ]perl-sys-syscall-0.25-r10.apk2024-07-11 07:25 5.1K 
[   ]perl-sys-syscall-doc-0.25-r10.apk2024-07-11 07:25 3.4K 
[   ]perl-sys-virt-11.6.0-r0.apk2025-08-15 01:11 210K 
[   ]perl-sys-virt-doc-11.6.0-r0.apk2025-08-15 01:11 105K 
[   ]perl-system-command-1.122-r0.apk2024-02-05 16:10 12K 
[   ]perl-system-command-doc-1.122-r0.apk2024-02-05 16:10 9.9K 
[   ]perl-task-catalyst-4.02-r0.apk2025-06-15 05:41 2.7K 
[   ]perl-task-catalyst-doc-4.02-r0.apk2025-06-15 05:41 3.4K 
[   ]perl-template-plugin-csv-0.04-r3.apk2023-07-06 17:21 2.5K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2023-07-06 17:21 2.8K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2023-07-06 17:21 4.6K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2023-07-06 17:21 4.1K 
[   ]perl-template-timer-1.00-r0.apk2025-04-15 14:08 3.2K 
[   ]perl-template-timer-doc-1.00-r0.apk2025-04-15 14:08 3.4K 
[   ]perl-template-tiny-1.16-r0.apk2025-07-24 13:11 5.3K 
[   ]perl-template-tiny-doc-1.16-r0.apk2025-07-24 13:11 4.8K 
[   ]perl-term-size-0.211-r5.apk2025-07-01 13:33 5.3K 
[   ]perl-term-size-doc-0.211-r5.apk2025-07-01 13:33 3.5K 
[   ]perl-term-ui-0.50-r1.apk2023-07-06 17:21 9.7K 
[   ]perl-term-ui-doc-0.50-r1.apk2023-07-06 17:21 8.2K 
[   ]perl-test-api-0.010-r2.apk2023-07-06 17:21 4.8K 
[   ]perl-test-api-doc-0.010-r2.apk2023-07-06 17:21 4.0K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-02-05 16:13 5.6K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-02-05 16:13 5.2K 
[   ]perl-test-describeme-0.004-r0.apk2024-04-30 01:06 3.3K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-04-30 01:06 3.9K 
[   ]perl-test-distribution-2.00-r1.apk2023-07-06 17:21 7.5K 
[   ]perl-test-distribution-doc-2.00-r1.apk2023-07-06 17:21 5.8K 
[   ]perl-test-expander-2.5.1-r0.apk2024-09-01 04:18 6.9K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-09-01 04:18 20K 
[   ]perl-test-expect-0.34-r0.apk2025-04-21 17:35 3.2K 
[   ]perl-test-expect-doc-0.34-r0.apk2025-04-21 17:35 3.3K 
[   ]perl-test-file-1.995-r0.apk2025-04-19 18:18 11K 
[   ]perl-test-file-doc-1.995-r0.apk2025-04-19 18:18 6.5K 
[   ]perl-test-files-0.26-r0.apk2024-03-09 06:51 6.5K 
[   ]perl-test-files-doc-0.26-r0.apk2024-03-09 06:51 14K 
[   ]perl-test-kwalitee-1.28-r0.apk2025-07-16 12:26 6.1K 
[   ]perl-test-kwalitee-doc-1.28-r0.apk2025-07-16 12:26 6.6K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-01-14 15:57 9.6K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-01-14 15:57 8.1K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-09-18 00:52 6.2K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-09-18 00:52 5.0K 
[   ]perl-test-modern-0.013-r3.apk2023-07-06 17:21 14K 
[   ]perl-test-modern-doc-0.013-r3.apk2023-07-06 17:21 9.6K 
[   ]perl-test-perl-critic-1.04-r0.apk2025-07-16 12:26 6.6K 
[   ]perl-test-perl-critic-doc-1.04-r0.apk2025-07-16 12:26 6.2K 
[   ]perl-test-randomresult-0.001-r0.apk2024-04-30 01:06 3.2K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-04-30 01:06 3.4K 
[   ]perl-test-redisserver-0.23-r0.apk2024-01-17 16:50 4.7K 
[   ]perl-test-redisserver-doc-0.23-r0.apk2024-01-17 16:50 3.8K 
[   ]perl-test-requires-git-1.008-r0.apk2024-02-05 16:10 4.5K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-02-05 16:10 4.1K 
[   ]perl-test-roo-1.004-r3.apk2023-07-06 17:21 12K 
[   ]perl-test-roo-doc-1.004-r3.apk2023-07-06 17:21 15K 
[   ]perl-test-settings-0.003-r0.apk2024-04-30 01:06 4.7K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-04-30 01:06 5.8K 
[   ]perl-test-timer-2.12-r2.apk2024-01-04 01:15 8.6K 
[   ]perl-test-timer-doc-2.12-r2.apk2024-01-04 01:15 8.1K 
[   ]perl-test-toolbox-0.4-r5.apk2023-12-14 16:55 9.6K 
[   ]perl-test-toolbox-doc-0.4-r5.apk2023-12-14 16:55 5.9K 
[   ]perl-test-trap-0.3.5-r1.apk2023-07-06 17:21 20K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2023-07-06 17:21 20K 
[   ]perl-test-unit-0.27-r0.apk2024-06-28 05:11 37K 
[   ]perl-test-unit-doc-0.27-r0.apk2024-06-28 05:11 48K 
[   ]perl-test-useallmodules-0.17-r1.apk2023-07-06 17:21 3.6K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2023-07-06 17:21 3.6K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-16 15:09 5.3K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-16 15:09 4.6K 
[   ]perl-test-www-mechanize-1.60-r0.apk2025-04-15 14:08 15K 
[   ]perl-test-www-mechanize-catalyst-0.62-r0.apk2025-04-20 08:21 7.1K 
[   ]perl-test-www-mechanize-catalyst-doc-0.62-r0.apk2025-04-20 08:21 6.0K 
[   ]perl-test-www-mechanize-doc-1.60-r0.apk2025-04-15 14:08 9.8K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-03-09 06:51 3.6K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-03-09 06:51 4.2K 
[   ]perl-text-brew-0.02-r5.apk2023-07-06 17:21 4.3K 
[   ]perl-text-brew-doc-0.02-r5.apk2023-07-06 17:21 3.9K 
[   ]perl-text-german-0.06-r0.apk2025-06-07 02:00 13K 
[   ]perl-text-german-doc-0.06-r0.apk2025-06-07 02:00 2.8K 
[   ]perl-text-simpletable-2.07-r0.apk2025-03-19 18:48 4.2K 
[   ]perl-text-simpletable-doc-2.07-r0.apk2025-03-19 18:48 3.2K 
[   ]perl-text-table-any-0.117-r0.apk2024-03-03 07:17 7.8K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-03-03 07:17 6.4K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-03-03 07:17 5.1K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-03-03 07:17 5.0K 
[   ]perl-text-worddiff-0.09-r0.apk2025-06-09 16:26 10K 
[   ]perl-text-worddiff-doc-0.09-r0.apk2025-06-09 16:26 13K 
[   ]perl-throwable-1.001-r1.apk2023-07-06 17:21 6.0K 
[   ]perl-throwable-doc-1.001-r1.apk2023-07-06 17:21 7.7K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-01-14 12:56 3.7K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-01-14 12:56 3.2K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-01-14 12:56 4.4K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-01-14 12:56 3.6K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-01-14 12:56 4.4K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-01-14 12:56 3.9K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-01-14 12:56 6.9K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-01-14 12:56 6.7K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-01-14 12:56 7.7K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-01-14 12:56 6.3K 
[   ]perl-tie-toobject-0.03-r0.apk2025-03-19 18:48 2.3K 
[   ]perl-tie-toobject-doc-0.03-r0.apk2025-03-19 18:48 2.9K 
[   ]perl-time-moment-0.44-r1.apk2025-07-01 13:33 39K 
[   ]perl-time-moment-doc-0.44-r1.apk2025-07-01 13:33 31K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-09-25 16:24 2.5K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-09-25 16:24 3.1K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-09-25 16:24 3.3K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-09-25 16:24 3.7K 
[   ]perl-time-timegm-0.01-r10.apk2025-07-01 13:33 6.3K 
[   ]perl-time-timegm-doc-0.01-r10.apk2025-07-01 13:33 3.6K 
[   ]perl-tree-simple-visitorfactory-0.16-r0.apk2025-03-19 18:48 20K 
[   ]perl-tree-simple-visitorfactory-doc-0.16-r0.apk2025-03-19 18:48 46K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-01-13 12:00 3.7K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-01-13 12:00 3.8K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 05:29 11K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 05:29 8.1K 
[   ]perl-uri-fetch-0.15-r0.apk2024-01-14 15:57 6.8K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-01-14 15:57 7.3K 
[   ]perl-uri-find-20160806-r0.apk2025-03-23 07:59 13K 
[   ]perl-uri-find-doc-20160806-r0.apk2025-03-23 07:59 8.9K 
[   ]perl-uri-nested-0.10-r0.apk2024-01-12 15:02 3.7K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-01-12 15:02 3.6K 
[   ]perl-uri-redis-0.02-r0.apk2024-01-17 16:50 2.9K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-01-17 16:50 4.3K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-01-17 16:50 2.5K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-01-17 16:50 4.7K 
[   ]perl-uri-ws-0.03-r0.apk2025-03-19 18:48 2.1K 
[   ]perl-uri-ws-doc-0.03-r0.apk2025-03-19 18:48 4.1K 
[   ]perl-url-encode-0.03-r4.apk2023-07-06 17:21 4.9K 
[   ]perl-url-encode-doc-0.03-r4.apk2023-07-06 17:21 4.4K 
[   ]perl-variable-disposition-0.005-r0.apk2024-01-17 16:50 3.0K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-01-17 16:50 5.4K 
[   ]perl-wanted-0.1.0-r0.apk2025-08-10 16:56 20K 
[   ]perl-wanted-doc-0.1.0-r0.apk2025-08-10 16:56 10K 
[   ]perl-web-machine-0.17-r0.apk2025-06-13 18:18 20K 
[   ]perl-web-machine-doc-0.17-r0.apk2025-06-13 18:18 28K 
[   ]perl-web-scraper-0.38-r0.apk2025-07-16 12:26 7.3K 
[   ]perl-web-scraper-doc-0.38-r0.apk2025-07-16 12:26 7.8K 
[   ]perl-x-tiny-0.22-r0.apk2024-04-30 01:06 6.6K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-04-30 01:06 7.3K 
[   ]perl-xml-atom-0.43-r0.apk2024-01-14 15:57 19K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-01-14 15:57 16K 
[   ]perl-xml-bare-0.53-r14.apk2025-07-01 13:33 27K 
[   ]perl-xml-bare-doc-0.53-r14.apk2025-07-01 13:33 11K 
[   ]perl-xml-feed-0.65-r0.apk2024-07-10 17:46 14K 
[   ]perl-xml-feed-doc-0.65-r0.apk2024-07-10 17:46 12K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-08-29 10:07 4.7K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-08-29 10:07 5.2K 
[   ]perl-xml-rpc-2.1-r0.apk2024-05-26 12:29 5.5K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-05-26 12:29 4.6K 
[   ]perl-xml-stream-1.24-r0.apk2024-01-03 19:45 44K 
[   ]perl-xml-stream-doc-1.24-r0.apk2024-01-03 19:45 17K 
[   ]perl-xml-xpathengine-0.14-r0.apk2025-07-08 16:56 21K 
[   ]perl-xml-xpathengine-doc-0.14-r0.apk2025-07-08 16:56 11K 
[   ]persistent-cache-cpp-1.0.9-r0.apk2025-09-26 04:02 45K 
[   ]persistent-cache-cpp-dev-1.0.9-r0.apk2025-09-26 04:02 18K 
[   ]persistent-cache-cpp-doc-1.0.9-r0.apk2025-09-26 04:02 2.9K 
[   ]pest-language-server-0.3.9-r0.apk2024-04-30 01:06 1.0M 
[   ]petitboot-1.14-r0.apk2025-10-04 02:34 189K 
[   ]petitboot-dbg-1.14-r0.apk2025-10-04 02:34 618K 
[   ]petitboot-doc-1.14-r0.apk2025-10-04 02:34 7.8K 
[   ]pfetch-1.9.0-r0.apk2025-04-10 12:58 23K 
[   ]pfetch-doc-1.9.0-r0.apk2025-04-10 12:58 5.5K 
[   ]phoronix-test-suite-10.8.4-r2.apk2023-12-14 16:55 3.9M 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2023-12-14 16:55 1.5K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2023-12-14 16:55 287K 
[   ]phosh-tour-0.50.0-r0.apk2025-10-05 15:54 38K 
[   ]phosh-tour-lang-0.50.0-r0.apk2025-10-05 15:54 33K 
[   ]php81-8.1.33-r0.apk2025-07-03 02:42 1.7M 
[   ]php81-apache2-8.1.33-r0.apk2025-07-03 02:42 1.7M 
[   ]php81-bcmath-8.1.33-r0.apk2025-07-03 02:42 14K 
[   ]php81-bz2-8.1.33-r0.apk2025-07-03 02:42 9.2K 
[   ]php81-calendar-8.1.33-r0.apk2025-07-03 02:42 13K 
[   ]php81-cgi-8.1.33-r0.apk2025-07-03 02:42 1.7M 
[   ]php81-common-8.1.33-r0.apk2025-07-03 02:42 25K 
[   ]php81-ctype-8.1.33-r0.apk2025-07-03 02:42 4.6K 
[   ]php81-curl-8.1.33-r0.apk2025-07-03 02:42 35K 
[   ]php81-dba-8.1.33-r0.apk2025-07-03 02:42 21K 
[   ]php81-dev-8.1.33-r0.apk2025-07-03 02:42 939K 
[   ]php81-doc-8.1.33-r0.apk2025-07-03 02:42 68K 
[   ]php81-dom-8.1.33-r0.apk2025-07-03 02:42 57K 
[   ]php81-embed-8.1.33-r0.apk2025-07-03 02:42 1.7M 
[   ]php81-enchant-8.1.33-r0.apk2025-07-03 02:42 8.1K 
[   ]php81-exif-8.1.33-r0.apk2025-07-03 02:42 31K 
[   ]php81-ffi-8.1.33-r0.apk2025-07-03 02:42 75K 
[   ]php81-fileinfo-8.1.33-r0.apk2025-07-03 02:42 375K 
[   ]php81-fpm-8.1.33-r0.apk2025-07-03 02:42 1.8M 
[   ]php81-ftp-8.1.33-r0.apk2025-07-03 02:42 23K 
[   ]php81-gd-8.1.33-r0.apk2025-07-03 02:42 116K 
[   ]php81-gettext-8.1.33-r0.apk2025-07-03 02:42 5.5K 
[   ]php81-gmp-8.1.33-r0.apk2025-07-03 02:42 20K 
[   ]php81-iconv-8.1.33-r0.apk2025-07-03 02:42 16K 
[   ]php81-imap-8.1.33-r0.apk2025-07-03 02:42 32K 
[   ]php81-intl-8.1.33-r0.apk2025-07-03 02:42 133K 
[   ]php81-ldap-8.1.33-r0.apk2025-07-03 02:42 30K 
[   ]php81-litespeed-8.1.33-r0.apk2025-07-03 02:42 1.7M 
[   ]php81-mbstring-8.1.33-r0.apk2025-07-03 02:42 566K 
[   ]php81-mysqli-8.1.33-r0.apk2025-07-03 02:42 41K 
[   ]php81-mysqlnd-8.1.33-r0.apk2025-07-03 02:42 77K 
[   ]php81-odbc-8.1.33-r0.apk2025-07-03 02:42 22K 
[   ]php81-opcache-8.1.33-r0.apk2025-07-03 02:42 63K 
[   ]php81-openssl-8.1.33-r0.apk2025-07-03 02:42 67K 
[   ]php81-pcntl-8.1.33-r0.apk2025-07-03 02:42 13K 
[   ]php81-pdo-8.1.33-r0.apk2025-07-03 02:42 39K 
[   ]php81-pdo_dblib-8.1.33-r0.apk2025-07-03 02:42 11K 
[   ]php81-pdo_mysql-8.1.33-r0.apk2025-07-03 02:42 12K 
[   ]php81-pdo_odbc-8.1.33-r0.apk2025-07-03 02:42 12K 
[   ]php81-pdo_pgsql-8.1.33-r0.apk2025-07-03 02:42 18K 
[   ]php81-pdo_sqlite-8.1.33-r0.apk2025-07-03 02:42 12K 
[   ]php81-pear-8.1.33-r0.apk2025-07-03 02:42 338K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-04-30 01:06 57K 
[   ]php81-pecl-apcu-5.1.27-r0.apk2025-08-28 21:52 55K 
[   ]php81-pecl-ast-1.1.3-r0.apk2025-08-10 20:53 21K 
[   ]php81-pecl-brotli-0.18.2-r0.apk2025-08-17 23:34 15K 
[   ]php81-pecl-csv-0.4.3-r0.apk2025-02-25 15:46 9.6K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-04-30 01:06 19K 
[   ]php81-pecl-ds-1.6.0-r0.apk2025-05-07 14:09 62K 
[   ]php81-pecl-event-3.1.4-r0.apk2024-07-16 11:38 51K 
[   ]php81-pecl-grpc-1.75.0-r0.apk2025-09-28 08:08 4.2M 
[   ]php81-pecl-igbinary-3.2.16-r0.apk2024-08-10 23:49 34K 
[   ]php81-pecl-imagick-3.8.0-r1.apk2025-09-28 04:37 112K 
[   ]php81-pecl-imagick-dev-3.8.0-r1.apk2025-09-28 04:37 2.0K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2022-12-04 03:51 36K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2023-09-17 23:36 10K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-04-30 01:06 30K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-04-30 01:06 7.1K 
[   ]php81-pecl-mailparse-3.1.9-r0.apk2025-09-30 12:40 23K 
[   ]php81-pecl-maxminddb-1.12.1-r0.apk2025-05-07 14:09 7.8K 
[   ]php81-pecl-mcrypt-1.0.9-r0.apk2025-08-05 13:16 15K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-04-30 01:06 44K 
[   ]php81-pecl-memcached-3.4.0-r0.apk2025-10-15 12:16 46K 
[   ]php81-pecl-memprof-3.1.0-r0.apk2025-02-25 05:12 13K 
[   ]php81-pecl-mongodb-2.1.4-r0.apk2025-10-15 12:16 837K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-09-26 11:25 27K 
[   ]php81-pecl-oauth-2.0.10-r0.apk2025-10-15 12:16 35K 
[   ]php81-pecl-opentelemetry-1.2.1-r0.apk2025-10-04 23:16 13K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-04 17:19 9.2K 
[   ]php81-pecl-protobuf-4.32.1-r0.apk2025-10-01 02:05 149K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-04-30 01:06 18K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 12:53 38K 
[   ]php81-pecl-redis-6.3.0_rc1-r0.apk2025-10-15 22:29 192K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-11 00:14 21K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-04-30 01:06 28K 
[   ]php81-pecl-swoole-6.0.2-r0.apk2025-03-26 18:35 901K 
[   ]php81-pecl-swoole-dev-6.0.2-r0.apk2025-03-26 18:35 213K 
[   ]php81-pecl-timezonedb-2025.2-r0.apk2025-03-27 11:08 191K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-04-30 01:06 6.2K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-04-30 01:06 9.6K 
[   ]php81-pecl-uuid-1.3.0-r0.apk2025-05-15 20:20 6.4K 
[   ]php81-pecl-vips-1.0.13-r0.apk2024-04-30 01:06 17K 
[   ]php81-pecl-xdebug-3.4.6-r0.apk2025-10-06 15:24 143K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-07-11 07:30 13K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-07-11 07:30 800K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 01:55 229K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-07-12 17:29 36K 
[   ]php81-pecl-yaml-2.2.5-r0.apk2025-08-03 14:57 18K 
[   ]php81-pecl-zephir_parser-1.8.0-r0.apk2025-09-29 14:44 64K 
[   ]php81-pecl-zstd-0.15.2-r0.apk2025-09-26 04:02 17K 
[   ]php81-pgsql-8.1.33-r0.apk2025-07-03 02:42 44K 
[   ]php81-phar-8.1.33-r0.apk2025-07-03 02:42 112K 
[   ]php81-phpdbg-8.1.33-r0.apk2025-07-03 02:42 1.8M 
[   ]php81-posix-8.1.33-r0.apk2025-07-03 02:42 11K 
[   ]php81-pspell-8.1.33-r0.apk2025-07-03 02:42 7.7K 
[   ]php81-session-8.1.33-r0.apk2025-07-03 02:42 33K 
[   ]php81-shmop-8.1.33-r0.apk2025-07-03 02:42 5.6K 
[   ]php81-simplexml-8.1.33-r0.apk2025-07-03 02:42 21K 
[   ]php81-snmp-8.1.33-r0.apk2025-07-03 02:42 19K 
[   ]php81-soap-8.1.33-r0.apk2025-07-03 02:42 124K 
[   ]php81-sockets-8.1.33-r0.apk2025-07-03 02:42 35K 
[   ]php81-sodium-8.1.33-r0.apk2025-07-03 02:42 26K 
[   ]php81-sqlite3-8.1.33-r0.apk2025-07-03 02:42 20K 
[   ]php81-sysvmsg-8.1.33-r0.apk2025-07-03 02:42 7.0K 
[   ]php81-sysvsem-8.1.33-r0.apk2025-07-03 02:42 5.3K 
[   ]php81-sysvshm-8.1.33-r0.apk2025-07-03 02:42 6.1K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2022-05-22 15:03 12K 
[   ]php81-tidy-8.1.33-r0.apk2025-07-03 02:42 18K 
[   ]php81-tokenizer-8.1.33-r0.apk2025-07-03 02:42 11K 
[   ]php81-xml-8.1.33-r0.apk2025-07-03 02:42 18K 
[   ]php81-xmlreader-8.1.33-r0.apk2025-07-03 02:42 12K 
[   ]php81-xmlwriter-8.1.33-r0.apk2025-07-03 02:42 12K 
[   ]php81-xsl-8.1.33-r0.apk2025-07-03 02:42 12K 
[   ]php81-zip-8.1.33-r0.apk2025-07-03 02:42 24K 
[   ]php82-pdlib-1.1.0-r1.apk2024-04-02 20:19 508K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2023-12-20 17:35 4.2K 
[   ]php82-pecl-excimer-1.2.5-r0.apk2025-05-20 18:20 20K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2022-12-04 03:51 36K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2023-09-17 23:36 10K 
[   ]php82-pecl-oauth-2.0.10-r0.apk2025-10-15 12:16 35K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-03-29 11:33 30K 
[   ]php82-pecl-teds-1.3.0-r0.apk2022-11-10 14:41 110K 
[   ]php82-pecl-vld-0.19.1-r0.apk2025-07-20 13:35 16K 
[   ]php82-pecl-zephir_parser-1.8.0-r0.apk2025-09-29 14:44 64K 
[   ]php82-snappy-0.2.3-r0.apk2025-04-09 21:14 4.8K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2023-12-20 17:35 4.2K 
[   ]php83-pecl-eio-3.1.4-r0.apk2025-07-20 15:27 29K 
[   ]php83-pecl-ev-1.2.1-r0.apk2025-07-20 14:01 42K 
[   ]php83-pecl-excimer-1.2.5-r0.apk2025-05-20 18:20 20K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2023-09-17 23:36 10K 
[   ]php83-pecl-oauth-2.0.10-r0.apk2025-10-15 12:16 35K 
[   ]php83-pecl-phpy-1.0.11-r1.apk2025-05-15 20:20 42K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-09-18 18:28 53K 
[   ]php83-pecl-vld-0.19.1-r0.apk2025-07-20 13:35 16K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2023-12-14 16:55 32K 
[   ]php84-pecl-csv-0.4.3-r0.apk2025-02-25 15:46 9.6K 
[   ]php84-pecl-ev-1.2.1-r0.apk2025-07-20 14:01 42K 
[   ]php84-pecl-mcrypt-1.0.9-r0.apk2025-08-05 13:16 15K 
[   ]php84-pecl-memprof-3.1.0-r0.apk2025-02-25 05:12 13K 
[   ]php84-pecl-oauth-2.0.10-r0.apk2025-10-15 12:16 35K 
[   ]php84-pecl-phpy-1.0.11-r1.apk2025-05-15 20:20 42K 
[   ]php84-pecl-solr-2.8.1-r0.apk2025-04-23 04:57 91K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 12:47 53K 
[   ]php84-pecl-vld-0.19.1-r0.apk2025-07-20 13:35 16K 
[   ]php84-snappy-0.2.3-r0.apk2025-04-09 21:14 4.8K 
[   ]php85-8.5.0_rc2-r0.apk2025-10-08 11:29 2.7M 
[   ]php85-apache2-8.5.0_rc2-r0.apk2025-10-08 11:29 2.7M 
[   ]php85-bcmath-8.5.0_rc2-r0.apk2025-10-08 11:29 27K 
[   ]php85-bz2-8.5.0_rc2-r0.apk2025-10-08 11:29 9.7K 
[   ]php85-calendar-8.5.0_rc2-r0.apk2025-10-08 11:29 14K 
[   ]php85-cgi-8.5.0_rc2-r0.apk2025-10-08 11:29 2.7M 
[   ]php85-common-8.5.0_rc2-r0.apk2025-10-08 11:29 24K 
[   ]php85-ctype-8.5.0_rc2-r0.apk2025-10-08 11:29 4.5K 
[   ]php85-curl-8.5.0_rc2-r0.apk2025-10-08 11:29 43K 
[   ]php85-dba-8.5.0_rc2-r0.apk2025-10-08 11:29 24K 
[   ]php85-dbg-8.5.0_rc2-r0.apk2025-10-08 11:29 53M 
[   ]php85-dev-8.5.0_rc2-r0.apk2025-10-08 11:29 1.3M 
[   ]php85-doc-8.5.0_rc2-r0.apk2025-10-08 11:29 64K 
[   ]php85-dom-8.5.0_rc2-r0.apk2025-10-08 11:29 137K 
[   ]php85-embed-8.5.0_rc2-r0.apk2025-10-08 11:29 2.6M 
[   ]php85-enchant-8.5.0_rc2-r0.apk2025-10-08 11:29 9.4K 
[   ]php85-exif-8.5.0_rc2-r0.apk2025-10-08 11:29 32K 
[   ]php85-ffi-8.5.0_rc2-r0.apk2025-10-08 11:29 80K 
[   ]php85-fileinfo-8.5.0_rc2-r0.apk2025-10-08 11:29 499K 
[   ]php85-fpm-8.5.0_rc2-r0.apk2025-10-08 11:29 2.7M 
[   ]php85-ftp-8.5.0_rc2-r0.apk2025-10-08 11:29 24K 
[   ]php85-gd-8.5.0_rc2-r0.apk2025-10-08 11:29 125K 
[   ]php85-gettext-8.5.0_rc2-r0.apk2025-10-08 11:29 6.5K 
[   ]php85-gmp-8.5.0_rc2-r0.apk2025-10-08 11:29 20K 
[   ]php85-iconv-8.5.0_rc2-r0.apk2025-10-08 11:29 16K 
[   ]php85-intl-8.5.0_rc2-r0.apk2025-10-08 11:29 170K 
[   ]php85-ldap-8.5.0_rc2-r0.apk2025-10-08 11:29 32K 
[   ]php85-litespeed-8.5.0_rc2-r0.apk2025-10-08 11:29 2.7M 
[   ]php85-mbstring-8.5.0_rc2-r0.apk2025-10-08 11:29 635K 
[   ]php85-mysqli-8.5.0_rc2-r0.apk2025-10-08 11:29 44K 
[   ]php85-mysqlnd-8.5.0_rc2-r0.apk2025-10-08 11:29 76K 
[   ]php85-odbc-8.5.0_rc2-r0.apk2025-10-08 11:29 26K 
[   ]php85-openssl-8.5.0_rc2-r0.apk2025-10-08 11:29 76K 
[   ]php85-pcntl-8.5.0_rc2-r0.apk2025-10-08 11:29 17K 
[   ]php85-pdo-8.5.0_rc2-r0.apk2025-10-08 11:29 44K 
[   ]php85-pdo_dblib-8.5.0_rc2-r0.apk2025-10-08 11:29 12K 
[   ]php85-pdo_mysql-8.5.0_rc2-r0.apk2025-10-08 11:29 15K 
[   ]php85-pdo_odbc-8.5.0_rc2-r0.apk2025-10-08 11:29 14K 
[   ]php85-pdo_pgsql-8.5.0_rc2-r0.apk2025-10-08 11:29 23K 
[   ]php85-pdo_sqlite-8.5.0_rc2-r0.apk2025-10-08 11:29 18K 
[   ]php85-pear-8.5.0_rc2-r0.apk2025-10-08 11:29 338K 
[   ]php85-pecl-apcu-5.1.27-r1.apk2025-09-28 04:37 56K 
[   ]php85-pecl-ast-1.1.3-r1.apk2025-09-28 04:37 21K 
[   ]php85-pecl-brotli-0.18.2-r1.apk2025-09-28 04:37 15K 
[   ]php85-pecl-ev-1.2.1-r1.apk2025-09-28 04:37 42K 
[   ]php85-pecl-grpc-1.75.0-r0.apk2025-09-29 14:44 4.2M 
[   ]php85-pecl-igbinary-3.2.16-r3.apk2025-09-28 04:37 29K 
[   ]php85-pecl-imagick-3.8.0-r0.apk2025-09-28 04:37 112K 
[   ]php85-pecl-imagick-dev-3.8.0-r0.apk2025-09-28 04:37 2.0K 
[   ]php85-pecl-mailparse-3.1.9-r0.apk2025-09-30 12:40 23K 
[   ]php85-pecl-memcached-3.4.0-r0.apk2025-10-15 12:16 47K 
[   ]php85-pecl-mongodb-2.1.4-r0.apk2025-10-15 12:16 838K 
[   ]php85-pecl-msgpack-3.0.0-r0.apk2025-10-15 12:16 28K 
[   ]php85-pecl-oauth-2.0.10-r0.apk2025-10-15 12:16 34K 
[   ]php85-pecl-opentelemetry-1.2.1-r0.apk2025-10-04 23:16 12K 
[   ]php85-pecl-protobuf-4.32.1-r0.apk2025-09-28 04:37 150K 
[   ]php85-pecl-redis-6.3.0_rc1-r0.apk2025-10-15 22:29 194K 
[   ]php85-pecl-uploadprogress-2.0.2-r1.apk2025-09-28 04:37 6.1K 
[   ]php85-pecl-uploadprogress-doc-2.0.2-r1.apk2025-09-28 04:37 9.3K 
[   ]php85-pecl-vld-0.19.1-r1.apk2025-09-28 04:37 16K 
[   ]php85-pecl-xdebug-3.5.0_alpha2-r0.apk2025-10-15 12:16 153K 
[   ]php85-pecl-xhprof-2.3.10-r1.apk2025-09-28 04:37 13K 
[   ]php85-pecl-xhprof-assets-2.3.10-r1.apk2025-09-28 04:37 807K 
[   ]php85-pecl-yaml-2.2.5-r1.apk2025-09-28 04:37 18K 
[   ]php85-pecl-zstd-0.15.2-r1.apk2025-09-28 04:37 17K 
[   ]php85-pgsql-8.5.0_rc2-r0.apk2025-10-08 11:29 55K 
[   ]php85-phar-8.5.0_rc2-r0.apk2025-10-08 11:29 116K 
[   ]php85-phpdbg-8.5.0_rc2-r0.apk2025-10-08 11:29 2.7M 
[   ]php85-posix-8.5.0_rc2-r0.apk2025-10-08 11:29 12K 
[   ]php85-session-8.5.0_rc2-r0.apk2025-10-08 11:29 39K 
[   ]php85-shmop-8.5.0_rc2-r0.apk2025-10-08 11:29 6.0K 
[   ]php85-simplexml-8.5.0_rc2-r0.apk2025-10-08 11:29 21K 
[   ]php85-snmp-8.5.0_rc2-r0.apk2025-10-08 11:29 20K 
[   ]php85-soap-8.5.0_rc2-r0.apk2025-10-08 11:29 130K 
[   ]php85-sockets-8.5.0_rc2-r0.apk2025-10-08 11:29 41K 
[   ]php85-sodium-8.5.0_rc2-r0.apk2025-10-08 11:29 29K 
[   ]php85-sqlite3-8.5.0_rc2-r0.apk2025-10-08 11:29 23K 
[   ]php85-sysvmsg-8.5.0_rc2-r0.apk2025-10-08 11:29 7.3K 
[   ]php85-sysvsem-8.5.0_rc2-r0.apk2025-10-08 11:29 5.4K 
[   ]php85-sysvshm-8.5.0_rc2-r0.apk2025-10-08 11:29 6.2K 
[   ]php85-tidy-8.5.0_rc2-r0.apk2025-10-08 11:29 19K 
[   ]php85-tokenizer-8.5.0_rc2-r0.apk2025-10-08 11:29 11K 
[   ]php85-xml-8.5.0_rc2-r0.apk2025-10-08 11:29 24K 
[   ]php85-xmlreader-8.5.0_rc2-r0.apk2025-10-08 11:29 15K 
[   ]php85-xmlwriter-8.5.0_rc2-r0.apk2025-10-08 11:29 14K 
[   ]php85-xsl-8.5.0_rc2-r0.apk2025-10-08 11:29 14K 
[   ]php85-zip-8.5.0_rc2-r0.apk2025-10-08 11:29 29K 
[   ]phpactor-2025.04.17.0-r0.apk2025-05-31 06:41 3.5M 
[   ]pick-4.0.0-r0.apk2023-05-21 12:09 9.2K 
[   ]pick-doc-4.0.0-r0.apk2023-05-21 12:09 3.1K 
[   ]pict-rs-0.5.19-r1.apk2025-10-15 12:16 6.4M 
[   ]pict-rs-openrc-0.5.19-r1.apk2025-10-15 12:16 1.6K 
[   ]piglit-0_git20241106-r1.apk2025-05-15 20:20 89M 
[   ]pihole-6.2.3-r0.apk2025-06-20 18:11 5.6M 
[   ]pihole-bash-completion-6.2.3-r0.apk2025-06-20 18:11 1.9K 
[   ]pihole-doc-6.2.3-r0.apk2025-06-20 18:11 3.5K 
[   ]pihole-openrc-6.2.3-r0.apk2025-06-20 18:11 1.6K 
[   ]pimd-3.0_git20220201-r0.apk2022-03-06 10:31 80K 
[   ]pimd-dense-2.1.0-r0.apk2023-01-14 01:49 47K 
[   ]pimd-dense-doc-2.1.0-r0.apk2023-01-14 01:49 19K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2023-01-14 01:49 1.6K 
[   ]pimd-doc-3.0_git20220201-r0.apk2022-03-06 10:31 35K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2022-03-06 10:31 1.6K 
[   ]pinentry-bemenu-0.14.0-r1.apk2025-07-06 00:39 8.3K 
[   ]piper-phonemize-2023.11.14.4-r9.apk2025-10-15 22:29 9.0M 
[   ]piper-phonemize-dev-2023.11.14.4-r9.apk2025-10-15 22:29 394K 
[   ]piper-phonemize-libs-2023.11.14.4-r9.apk2025-10-15 22:29 69K 
[   ]piper-tts-2023.11.14.2-r14.apk2025-10-15 22:29 127K 
[   ]piper-tts-dev-2023.11.14.2-r14.apk2025-10-15 22:29 141K 
[   ]pithos-1.6.1-r0.apk2023-06-02 00:25 104K 
[   ]pithos-doc-1.6.1-r0.apk2023-06-02 00:25 1.8K 
[   ]pithos-pyc-1.6.1-r0.apk2023-06-02 00:25 154K 
[   ]pixi-0.24.2-r0.apk2024-06-16 21:48 8.4M 
[   ]pixi-bash-completion-0.24.2-r0.apk2024-06-16 21:48 6.9K 
[   ]pixi-doc-0.24.2-r0.apk2024-06-16 21:48 6.6K 
[   ]pixi-fish-completion-0.24.2-r0.apk2024-06-16 21:48 9.8K 
[   ]pixi-zsh-completion-0.24.2-r0.apk2024-06-16 21:48 10K 
[   ]pixiewps-1.4.2-r2.apk2025-05-15 20:20 54K 
[   ]pixiewps-doc-1.4.2-r2.apk2025-05-15 20:20 3.1K 
[   ]plakar-1.0.5-r0.apk2025-10-15 22:29 19M 
[   ]plakar-doc-1.0.5-r0.apk2025-10-15 22:29 1.8K 
[   ]planarity-4.0.1.0-r0.apk2025-10-19 05:43 23K 
[   ]planarity-dev-4.0.1.0-r0.apk2025-10-19 05:43 23K 
[   ]planarity-doc-4.0.1.0-r0.apk2025-10-19 05:43 13K 
[   ]planarity-libs-4.0.1.0-r0.apk2025-10-19 05:43 73K 
[   ]planner-0.14.92-r1.apk2024-12-09 00:30 344K 
[   ]planner-doc-0.14.92-r1.apk2024-12-09 00:30 1.9K 
[   ]planner-lang-0.14.92-r1.apk2024-12-09 00:30 824K 
[   ]platformio-core-6.1.7-r3.apk2024-08-07 01:50 262K 
[   ]platformio-core-pyc-6.1.7-r3.apk2024-08-07 01:50 552K 
[   ]please-0.5.6-r0.apk2025-08-29 11:40 1.0M 
[   ]please-doc-0.5.6-r0.apk2025-08-29 11:40 16K 
[   ]plfit-1.0.1-r0.apk2025-01-04 03:48 11K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 03:48 6.2K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 03:48 43K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 03:48 69K 
[   ]plib-1.8.5-r3.apk2023-12-14 16:55 1.4M 
[   ]plots-0.7.0-r1.apk2024-11-06 10:08 515K 
[   ]plplot-5.15.0-r2.apk2022-10-25 13:04 31K 
[   ]plplot-dev-5.15.0-r2.apk2022-10-25 13:04 59K 
[   ]plplot-doc-5.15.0-r2.apk2022-10-25 13:04 310K 
[   ]plplot-libs-5.15.0-r2.apk2022-10-25 13:04 182K 
[   ]pmccabe-2.8-r1.apk2022-10-25 13:04 22K 
[   ]pmccabe-doc-2.8-r1.apk2022-10-25 13:04 6.9K 
[   ]pneink-theme-1.3-r0.apk2025-07-30 05:58 9.6K 
[   ]pneink-theme-doc-1.3-r0.apk2025-07-30 05:58 1.4K 
[   ]pnmixer-0.7.2-r3.apk2023-12-14 16:55 141K 
[   ]pnmixer-doc-0.7.2-r3.apk2023-12-14 16:55 2.0K 
[   ]pnmixer-lang-0.7.2-r3.apk2023-12-14 16:55 25K 
[   ]pokoy-0.2.5-r0.apk2023-05-22 22:58 8.4K 
[   ]pokoy-doc-0.2.5-r0.apk2023-05-22 22:58 2.7K 
[   ]policycoreutils-3.6-r1.apk2024-10-15 08:41 53K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-15 08:41 2.2K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-15 08:41 22K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-15 08:41 105K 
[   ]polyglot-2.0.4-r1.apk2023-08-19 19:23 69K 
[   ]polyglot-doc-2.0.4-r1.apk2023-08-19 19:23 47K 
[   ]pomo-0.8.1-r27.apk2025-10-15 12:16 1.6M 
[   ]pomo-doc-0.8.1-r27.apk2025-10-15 12:16 2.5K 
[   ]pongoos-loader-0_git20210704-r1.apk2022-10-25 13:04 2.1K 
[   ]pop-cursor-theme-3.5.1-r0.apk2025-03-25 17:46 13M 
[   ]pop-icon-theme-3.5.1-r0.apk2025-03-25 17:46 1.3M 
[   ]pop-launcher-1.2.7-r0.apk2025-10-04 02:34 2.4M 
[   ]popeye-0.22.1-r8.apk2025-10-15 12:16 27M 
[   ]portsmf-239-r2.apk2025-10-15 12:16 57K 
[   ]portsmf-dev-239-r2.apk2025-10-15 12:16 20K 
[   ]postgresql-hll-2.18-r0.apk2023-12-17 21:32 27K 
[   ]postgresql-hll-bitcode-2.18-r0.apk2023-12-17 21:32 55K 
[   ]postgresql-pg_later-0.0.14-r1.apk2024-08-31 15:05 614K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r0.apk2024-09-30 17:51 23K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk2024-09-30 17:51 57K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-08-31 15:05 256K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-07-10 17:46 70K 
[   ]pounce-3.1-r4.apk2025-09-26 04:02 29K 
[   ]pounce-doc-3.1-r4.apk2025-09-26 04:02 8.3K 
[   ]pounce-openrc-3.1-r4.apk2025-09-26 04:02 2.5K 
[   ]powerctl-1.1-r6.apk2025-04-18 20:57 106K 
[   ]powerctl-doc-1.1-r6.apk2025-04-18 20:57 2.9K 
[   ]powerstat-0.04.01-r0.apk2024-01-03 13:00 19K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-01-03 13:00 2.0K 
[   ]powerstat-doc-0.04.01-r0.apk2024-01-03 13:00 4.0K 
[   ]pptpclient-1.10.0-r6.apk2025-07-01 13:33 33K 
[   ]pptpclient-doc-1.10.0-r6.apk2025-07-01 13:33 7.0K 
[   ]pqiv-2.12-r1.apk2022-10-25 13:04 58K 
[   ]pqiv-doc-2.12-r1.apk2022-10-25 13:04 12K 
[   ]predict-2.3.1-r0.apk2024-11-25 09:47 89K 
[   ]predict-doc-2.3.1-r0.apk2024-11-25 09:47 16K 
[   ]primecount-7.19-r0.apk2025-08-07 06:00 29K 
[   ]primecount-dev-7.19-r0.apk2025-08-07 06:00 4.7M 
[   ]primecount-doc-7.19-r0.apk2025-08-07 06:00 3.6K 
[   ]primecount-libs-7.19-r0.apk2025-08-07 06:00 150K 
[   ]primesieve-12.9-r0.apk2025-08-07 06:00 43K 
[   ]primesieve-dev-12.9-r0.apk2025-08-07 06:00 3.5M 
[   ]primesieve-doc-12.9-r0.apk2025-08-07 06:00 3.8K 
[   ]primesieve-libs-12.9-r0.apk2025-08-07 06:00 122K 
[   ]prjtrellis-1.4-r2.apk2024-04-30 01:06 1.3M 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-01-12 04:29 3.0K 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-01-12 04:29 2.1M 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-01-12 04:29 39K 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-01-12 04:29 1.0M 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-01-12 04:29 1.1M 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-01-12 04:29 747K 
[   ]projectm-3.1.12-r2.apk2024-05-08 13:23 449K 
[   ]projectm-dev-3.1.12-r2.apk2024-05-08 13:23 1.1M 
[   ]projectm-presets-3.1.12-r2.apk2024-05-08 13:23 4.3M 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-05-08 13:23 447K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-05-08 13:23 1.7K 
[   ]projectm-sdl-3.1.12-r2.apk2024-05-08 13:23 352K 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2022-10-25 13:04 4.5K 
[   ]prometheus-ceph-exporter-4.2.5-r8.apk2025-10-15 12:16 3.5MCeph
[   ]prometheus-ceph-exporter-openrc-4.2.5-r8.apk2025-10-15 12:16 1.6KCeph
[   ]prometheus-opnsense-exporter-0.0.11-r1.apk2025-10-15 12:16 4.7M 
[   ]prometheus-opnsense-exporter-openrc-0.0.11-r1.apk2025-10-15 12:16 1.8K 
[   ]prometheus-podman-exporter-1.18.1-r1.apk2025-10-15 12:16 15M 
[   ]prometheus-smartctl-exporter-0.14.0-r4.apk2025-10-15 12:16 4.6M 
[   ]prometheus-smartctl-exporter-openrc-0.14.0-r4.apk2025-10-15 12:16 1.6K 
[   ]prometheus-smtp2go-exporter-0.1.1-r3.apk2025-10-15 12:16 3.4M 
[   ]prometheus-smtp2go-exporter-openrc-0.1.1-r3.apk2025-10-15 12:16 1.7K 
[   ]prometheus-unbound-exporter-0.4.6-r5.apk2025-05-15 20:20 3.6M 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r5.apk2025-05-15 20:20 1.7K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2021-07-27 08:12 2.8K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2021-07-27 08:12 1.8K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2021-07-27 08:12 2.6K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2021-07-27 08:12 1.8K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2021-07-27 08:12 3.1K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2021-07-27 08:12 1.8K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2021-07-27 08:12 2.0K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2021-07-27 08:12 7.2K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2021-07-27 08:12 3.3K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2021-07-27 08:12 2.8K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2021-07-27 08:12 2.9K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2021-07-27 08:12 2.0K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2021-07-27 08:12 1.8K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2021-07-27 08:12 2.2K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2021-07-27 08:12 5.9K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2021-07-27 08:12 5.6K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2021-07-27 08:12 6.9K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2021-07-27 08:12 3.7K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2021-07-27 08:12 104K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2021-07-27 08:12 2.7K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2021-07-27 08:12 2.0K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2021-07-27 08:12 1.7K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2021-07-27 08:12 2.0K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2021-07-27 08:12 1.6K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2021-07-27 08:12 2.8K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2021-07-27 08:12 8.6K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2021-07-27 08:12 2.0K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2021-07-27 08:12 2.0K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2021-07-27 08:12 2.7K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2021-07-27 08:12 2.6K 
[   ]prosody-modules-0.11_hg20201208-r0.apk2021-07-27 08:12 1.5K 
[   ]protoc-gen-go-1.36.6-r4.apk2025-10-15 12:16 2.2M 
[   ]protoconf-0.1.7-r17.apk2025-10-15 12:16 7.5M 
[   ]psftools-1.1.2-r0.apk2024-08-07 01:50 222K 
[   ]psftools-dev-1.1.2-r0.apk2024-08-07 01:50 91K 
[   ]psftools-doc-1.1.2-r0.apk2024-08-07 01:50 59K 
[   ]psi-notify-1.3.1-r0.apk2023-04-09 12:03 9.7K 
[   ]pspp-2.0.1-r1.apk2025-10-06 14:28 19M 
[   ]pspp-dbg-2.0.1-r1.apk2025-10-06 14:28 4.6M 
[   ]pspp-doc-2.0.1-r1.apk2025-10-06 14:28 8.7K 
[   ]psst-0_git20240526-r1.apk2024-08-08 21:13 7.2M 
[   ]ptouch-print-1.7-r0.apk2025-09-26 04:02 26K 
[   ]ptouch-print-doc-1.7-r0.apk2025-09-26 04:02 2.9K 
[   ]ptpd-2.3.1-r1.apk2022-10-25 13:04 168K 
[   ]ptpd-doc-2.3.1-r1.apk2022-10-25 13:04 20K 
[   ]ptpd-openrc-2.3.1-r1.apk2022-10-25 13:04 2.1K 
[   ]ptylie-0.2-r2.apk2025-05-15 20:20 11K 
[   ]ptylie-doc-0.2-r2.apk2025-05-15 20:20 2.9K 
[   ]pug-0.6.2-r3.apk2025-10-15 12:16 4.0M 
[   ]pully-1.0.0-r0.apk2022-03-01 19:45 2.5K 
[   ]pully-openrc-1.0.0-r0.apk2022-03-01 19:45 1.7K 
[   ]pulsar-client-cpp-3.7.1-r0.apk2025-06-13 01:58 1.3M 
[   ]pulsar-client-cpp-dev-3.7.1-r0.apk2025-06-13 01:58 64K 
[   ]pure-1.23.0-r0.apk2025-10-20 13:52 18K 
[   ]pure-doc-1.23.0-r0.apk2025-10-20 13:52 7.7K 
[   ]purple-facebook-0.9.6-r0.apk2021-07-27 08:12 64K 
[   ]purple-hangouts-0_git20200422-r0.apk2021-07-27 08:12 191K 
[   ]pw-volume-0.5.0-r1.apk2023-05-24 08:51 306K 
[   ]pwauth-2.3.11-r2.apk2022-10-25 13:04 3.2K 
[   ]pwauth-doc-2.3.11-r2.apk2022-10-25 13:04 6.5K 
[   ]pwvucontrol-0.5.0-r0.apk2025-10-21 01:09 450K 
[   ]pwvucontrol-dbg-0.5.0-r0.apk2025-10-21 01:09 1.9M 
[   ]pwvucontrol-lang-0.5.0-r0.apk2025-10-21 01:09 11K 
[   ]pxalarm-3.0.0-r0.apk2024-05-10 01:53 2.6K 
[   ]pxmenu-1.0.0-r1.apk2023-06-02 06:12 2.6K 
[   ]py3-actdiag-3.0.0-r5.apk2024-08-07 01:50 17K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-08-07 01:50 21K 
[   ]py3-aesedb-0.1.7-r1.apk2025-10-18 08:15 34K 
[   ]py3-aesedb-examples-0.1.7-r1.apk2025-10-18 08:15 3.2K 
[   ]py3-aesedb-pyc-0.1.7-r1.apk2025-10-18 08:15 75K 
[   ]py3-agithub-2.2.2-r7.apk2025-03-19 18:48 19K 
[   ]py3-agithub-pyc-2.2.2-r7.apk2025-03-19 18:48 21K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-04-30 01:06 29K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-04-30 01:06 60K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-08-07 01:50 446K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-08-07 01:50 51K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-04-30 01:06 12K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-04-30 01:06 8.9K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 12:28 9.8K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 12:28 19K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-15 21:15 10K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-15 21:15 15K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-08-07 01:50 21K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-08-07 01:50 19K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-08-30 21:44 29K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-08-30 21:44 16K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-08-30 21:44 24K 
[   ]py3-aiosmb-0.4.13-r1.apk2025-10-18 08:15 577K 
[   ]py3-aiosmb-examples-0.4.13-r1.apk2025-10-18 08:15 36K 
[   ]py3-aiosmb-pyc-0.4.13-r1.apk2025-10-18 08:15 1.1M 
[   ]py3-aiowinreg-0.0.12-r1.apk2025-05-29 12:38 22K 
[   ]py3-aiowinreg-pyc-0.0.12-r1.apk2025-05-29 12:38 44K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-08-08 18:19 387K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-08-08 18:19 18K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-08-08 18:19 673K 
[   ]py3-allfiles-1.0-r8.apk2024-04-30 01:06 3.3K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-04-30 01:06 3.0K 
[   ]py3-altgraph-0.17.4-r1.apk2024-04-30 01:06 20K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-04-30 01:06 29K 
[   ]py3-ansi2html-1.9.2-r0.apk2024-09-20 00:01 17K 
[   ]py3-ansi2html-pyc-1.9.2-r0.apk2024-09-20 00:01 22K 
[   ]py3-ansible-pylibssh-1.2.2-r0.apk2025-07-19 15:21 241K 
[   ]py3-anyascii-0.3.2-r1.apk2024-04-30 01:06 274K 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-04-30 01:06 3.0K 
[   ]py3-apicula-0.11.1-r1.apk2024-04-30 01:06 8.5M 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-04-30 01:07 179K 
[   ]py3-apio-0.9.5-r0.apk2024-06-23 03:00 72K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-06-23 03:00 77K 
[   ]py3-apsw-3.50.4.0-r0.apk2025-08-14 20:32 903K 
[   ]py3-apsw-pyc-3.50.4.0-r0.apk2025-08-14 20:32 555K 
[   ]py3-apt-2.9.9-r0.apk2025-07-16 12:26 175K 
[   ]py3-apt-lang-2.9.9-r0.apk2025-07-16 12:26 79K 
[   ]py3-apt-pyc-2.9.9-r0.apk2025-07-16 12:26 119K 
[   ]py3-arcus-5.3.0-r5.apk2025-06-13 01:58 83K 
[   ]py3-asif-0.3.2-r3.apk2024-08-07 01:50 13K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-08-07 01:50 26K 
[   ]py3-ask-0.0.8-r8.apk2024-04-30 01:07 4.7K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-04-30 01:07 4.2K 
[   ]py3-astral-3.2-r3.apk2024-04-30 01:07 37K 
[   ]py3-astral-pyc-3.2-r3.apk2024-04-30 01:07 59K 
[   ]py3-asyauth-0.0.22-r0.apk2025-07-28 21:23 78K 
[   ]py3-asyauth-pyc-0.0.22-r0.apk2025-07-28 21:23 172K 
[   ]py3-asysocks-0.2.17-r1.apk2025-10-18 08:15 88K 
[   ]py3-asysocks-examples-0.2.17-r1.apk2025-10-18 08:15 7.8K 
[   ]py3-asysocks-pyc-0.2.17-r1.apk2025-10-18 08:15 259K 
[   ]py3-avro-1.11.3-r1.apk2024-04-30 01:07 97K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-04-30 01:07 191K 
[   ]py3-b2sdk-2.8.1-r0.apk2025-05-03 09:22 215K 
[   ]py3-b2sdk-pyc-2.8.1-r0.apk2025-05-03 09:22 402K 
[   ]py3-banal-1.0.6-r4.apk2024-08-07 01:50 6.6K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-08-07 01:50 7.0K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-08-30 21:44 46K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-08-30 21:44 69K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-04-30 01:07 16K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-04-30 01:07 4.0K 
[   ]py3-base58-2.1.1-r2.apk2024-08-08 18:13 11K 
[   ]py3-beartype-0.22.2-r0.apk2025-10-15 12:16 1.0M 
[   ]py3-beartype-pyc-0.22.2-r0.apk2025-10-15 12:16 738K 
[   ]py3-bencode-4.0.0-r1.apk2024-04-30 01:07 17K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-04-30 01:07 10K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-20 05:38 40K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-20 05:38 49K 
[   ]py3-bidict-0.23.1-r1.apk2024-04-30 01:07 27K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-04-30 01:07 28K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 21:52 13K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 21:52 23K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-04-30 01:07 35K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-04-30 01:07 13K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-21 02:46 370K 
[   ]py3-blockchain-1.4.4-r7.apk2024-08-07 15:51 11K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-08-07 15:51 18K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 18:23 68K 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 18:23 149K 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 18:23 2.5M 
[   ]py3-bookkeeper-4.17.2-r0.apk2025-07-16 12:26 43K 
[   ]py3-bookkeeper-pyc-4.17.2-r0.apk2025-07-16 12:26 66K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-04-30 01:07 4.6K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-04-30 01:07 4.9K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-04-30 01:07 4.0K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-04-30 01:07 3.0K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-04-30 01:07 2.8K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-04-30 01:07 3.7K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-04-30 01:07 3.5K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-04-30 01:07 2.9K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-04-30 01:07 2.3K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-04-30 01:07 5.8K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-04-30 01:07 4.9K 
[   ]py3-bottle-session-1.0-r6.apk2024-04-30 01:07 9.9K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-04-30 01:07 7.5K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-04-30 01:07 4.6K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-04-30 01:07 5.4K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-04-30 01:07 4.4K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-04-30 01:07 5.0K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-04-30 01:07 4.4K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-04-30 01:07 2.9K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-04-30 01:07 3.8K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-04-30 01:07 4.0K 
[   ]py3-bson-0.5.10-r6.apk2024-08-07 01:50 11K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-08-07 01:50 18K 
[   ]py3-businesstime-0.3.0-r9.apk2024-04-30 01:07 10K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-04-30 01:07 16K 
[   ]py3-c3d-0.5.2-r1.apk2024-04-30 01:07 32K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-04-30 01:07 53K 
[   ]py3-caldav-2.0.1-r0.apk2025-06-26 21:51 87K 
[   ]py3-caldav-pyc-2.0.1-r0.apk2025-06-26 21:51 117K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-21 23:31 286K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-21 23:31 560K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-09-02 20:36 57K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-09-02 20:36 102K 
[   ]py3-cchardet-2.1.7-r5.apk2024-08-30 21:44 124K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-08-30 21:44 2.8K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-20 20:47 100K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-20 20:47 43K 
[   ]py3-certauth-1.3.0-r1.apk2024-07-10 17:46 8.5K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-07-10 17:46 8.8K 
[   ]py3-chameleon-4.6.0-r0.apk2025-07-06 10:26 97K 
[   ]py3-chameleon-pyc-4.6.0-r0.apk2025-07-06 10:26 130K 
[   ]py3-ciso8601-2.3.2-r0.apk2025-08-03 09:57 16K 
[   ]py3-cjkwrap-2.2-r6.apk2025-05-15 20:20 4.3K 
[   ]py3-cjkwrap-pyc-2.2-r6.apk2025-05-15 20:20 5.0K 
[   ]py3-clang-next-22.0.0_pre20250926-r0.apk2025-09-28 04:37 34K 
[   ]py3-clang-next-pyc-22.0.0_pre20250926-r0.apk2025-09-28 04:37 61K 
[   ]py3-class-doc-1.25-r1.apk2024-04-30 01:07 5.8K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-04-30 01:07 8.4K 
[   ]py3-click-completion-0.5.2-r1.apk2024-04-30 01:07 11K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-04-30 01:07 14K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-04-30 01:07 4.9K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-04-30 01:07 4.2K 
[   ]py3-click-threading-0.5.0-r5.apk2024-08-08 18:13 6.1K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-08-08 18:13 7.6K 
[   ]py3-clickclick-20.10.2-r4.apk2024-08-07 01:50 7.7K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-08-07 01:50 9.5K 
[   ]py3-cmd2-2.4.3-r2.apk2024-04-30 01:07 139K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-04-30 01:07 222K 
[   ]py3-cobs-1.2.0-r4.apk2024-08-30 21:44 16K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-08-30 21:44 12K 
[   ]py3-colander-2.0-r2.apk2024-08-07 01:50 62K 
[   ]py3-colander-pyc-2.0-r2.apk2024-08-07 01:50 42K 
[   ]py3-colorthief-0.2.1-r1.apk2024-04-30 01:07 7.0K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-04-30 01:07 9.8K 
[   ]py3-columnize-0.3.11-r4.apk2024-08-07 01:50 8.3K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-08-07 01:50 7.2K 
[   ]py3-compdb-0.2.0-r8.apk2024-08-07 15:51 23K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-08-07 15:51 2.8K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-08-07 15:51 39K 
[   ]py3-confusable-homoglyphs-3.3.1-r0.apk2025-09-28 04:37 137K 
[   ]py3-confusable-homoglyphs-pyc-3.3.1-r0.apk2025-09-28 04:37 9.1K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-04-30 01:07 35K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-04-30 01:07 3.4K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-04-30 01:07 47K 
[   ]py3-coreapi-2.3.3-r9.apk2024-08-07 01:50 22K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-08-07 01:50 43K 
[   ]py3-crc16-0.1.1-r10.apk2024-04-30 01:07 11K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-04-30 01:07 4.4K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-09-27 02:02 43K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-09-27 02:02 14K 
[   ]py3-croniter-6.0.0-r0.apk2025-08-25 09:06 26K 
[   ]py3-croniter-pyc-6.0.0-r0.apk2025-08-25 09:06 25K 
[   ]py3-cryptg-0.5.0-r0.apk2025-05-10 01:44 186K 
[   ]py3-cryptg-pyc-0.5.0-r0.apk2025-05-10 01:44 1.6K 
[   ]py3-cssutils-2.11.1-r1.apk2024-09-26 03:09 155K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-09-26 03:09 278K 
[   ]py3-cstruct-6.1-r0.apk2025-08-22 00:35 23K 
[   ]py3-cstruct-pyc-6.1-r0.apk2025-08-22 00:35 37K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 20:14 8.4K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 20:14 10K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-08-07 01:50 662K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-08-07 01:50 936K 
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-05-11 15:34 17K 
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-05-11 15:34 1.6K 
[   ]py3-dash-bootstrap-components-1.6.0-r0.apk2025-04-15 14:08 16K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-06-12 01:20 27K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-06-12 01:20 35K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-04-30 01:07 11K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-04-30 01:07 14K 
[   ]py3-dateparser-1.2.2-r0.apk2025-07-07 05:43 216K 
[   ]py3-dateparser-pyc-1.2.2-r0.apk2025-07-07 05:43 335K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-04-30 01:07 4.1K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-04-30 01:07 3.9K 
[   ]py3-dbus-fast-2.44.5-r0.apk2025-10-19 20:29 827K 
[   ]py3-dbus-fast-doc-2.44.5-r0.apk2025-10-19 20:29 6.0K 
[   ]py3-dbus-fast-pyc-2.44.5-r0.apk2025-10-19 20:29 129K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-08-30 21:33 13K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-08-30 21:33 2.0K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-08-30 21:33 19K 
[   ]py3-dexml-0.5.1-r9.apk2024-04-30 01:07 22K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-04-30 01:07 37K 
[   ]py3-discid-1.3.0-r0.apk2025-07-28 21:33 12K 
[   ]py3-discid-pyc-1.3.0-r0.apk2025-07-28 21:33 13K 
[   ]py3-distorm3-3.5.2-r6.apk2024-08-08 18:19 47K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-08-08 18:19 48K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-08-08 18:23 14K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-08-08 18:23 15K 
[   ]py3-django-suit-0.2.28-r8.apk2024-08-07 01:50 366K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-08-07 01:50 32K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-04-30 01:07 3.7K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-04-30 01:07 4.7K 
[   ]py3-dnslib-0.9.26-r0.apk2025-09-01 18:59 56K 
[   ]py3-dnslib-pyc-0.9.26-r0.apk2025-09-01 18:59 109K 
[   ]py3-dogpile.cache-1.3.3-r1.apk2025-05-15 20:20 52K 
[   ]py3-dogpile.cache-pyc-1.3.3-r1.apk2025-05-15 20:20 90K 
[   ]py3-doi-0.2-r0.apk2025-04-15 14:08 5.9K 
[   ]py3-doi-pyc-0.2-r0.apk2025-04-15 14:08 4.5K 
[   ]py3-doit-0.36.0-r5.apk2024-08-30 21:44 76K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-08-30 21:44 133K 
[   ]py3-dominate-2.9.1-r1.apk2024-04-30 01:07 24K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-04-30 01:07 33K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-08-07 01:50 8.1K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-08-07 01:50 8.4K 
[   ]py3-downloader-cli-0.3.4-r2.apk2025-05-15 20:20 11K 
[   ]py3-downloader-cli-pyc-0.3.4-r2.apk2025-05-15 20:20 14K 
[   ]py3-dpath-2.2.0-r0.apk2024-09-22 22:04 17K 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-09-22 22:04 18K 
[   ]py3-drf-yasg-1.21.10-r0.apk2025-07-16 12:26 4.0M 
[   ]py3-drf-yasg-pyc-1.21.10-r0.apk2025-07-16 12:26 98K 
[   ]py3-dunamai-1.25.0-r0.apk2025-07-25 22:25 27K 
[   ]py3-dunamai-pyc-1.25.0-r0.apk2025-07-25 22:25 44K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-04-30 01:07 221K 
[   ]py3-dweepy-0.3.0-r7.apk2024-04-30 01:07 8.8K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-04-30 01:07 6.0K 
[   ]py3-ecbdata-0.1.1-r0.apk2025-04-15 14:08 13K 
[   ]py3-ecos-2.0.11-r4.apk2024-08-07 15:51 27K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-08-07 15:51 3.4K 
[   ]py3-edalize-0.5.4-r0.apk2024-07-23 01:14 122K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-07-23 01:14 190K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-08-07 01:50 14K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-08-07 01:50 1.8K 
[   ]py3-empy-3.3.4-r7.apk2024-08-08 18:23 39K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-08-08 18:23 58K 
[   ]py3-enlighten-1.14.1-r0.apk2025-08-28 09:32 37K 
[   ]py3-enlighten-pyc-1.14.1-r0.apk2025-08-28 09:32 46K 
[   ]py3-enzyme-0.5.2-r0.apk2025-07-16 12:26 22K 
[   ]py3-enzyme-pyc-0.5.2-r0.apk2025-07-16 12:26 19K 
[   ]py3-eradicate-2.3.0-r2.apk2024-08-30 21:44 7.3K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-08-30 21:44 2.3K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-08-30 21:44 8.1K 
[   ]py3-euclid3-0.01-r8.apk2024-08-30 21:44 14K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-08-30 21:44 32K 
[   ]py3-evalidate-2.0.5-r0.apk2025-08-25 09:06 9.1K 
[   ]py3-evalidate-pyc-2.0.5-r0.apk2025-08-25 09:06 6.1K 
[   ]py3-evohome-client-0.3.9-r0.apk2025-07-16 12:26 19K 
[   ]py3-evohome-client-pyc-0.3.9-r0.apk2025-07-16 12:26 27K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-08-07 01:50 38K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-08-07 01:50 3.9K 
[   ]py3-feedgen-1.0.0-r1.apk2024-04-30 01:07 40K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-04-30 01:07 61K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-08-07 01:50 18K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-08-07 01:50 26K 
[   ]py3-ffmpeg-0.2.0-r5.apk2025-05-15 20:20 23K 
[   ]py3-ffmpeg-pyc-0.2.0-r5.apk2025-05-15 20:20 32K 
[   ]py3-firmata-1.0.3-r10.apk2024-08-08 18:19 14K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-08-08 18:19 21K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-04-30 01:07 4.9K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-04-30 01:07 2.3K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 21:55 12K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 21:55 7.6K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-04-30 01:07 18K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-04-30 01:07 3.1K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-04-30 01:07 6.0K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-04-30 01:07 5.7K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-04-30 01:07 15K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-04-30 01:07 16K 
[   ]py3-flake8-isort-6.1.1-r1.apk2024-04-30 01:07 18K 
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-04-30 01:07 5.1K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-08-07 01:50 6.8K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-08-07 01:50 5.4K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-04-30 01:07 6.4K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-04-30 01:07 4.1K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-04-30 01:07 5.0K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-04-30 01:07 3.4K 
[   ]py3-flake8-todo-0.7-r7.apk2024-04-30 01:07 3.3K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-04-30 01:07 2.0K 
[   ]py3-flask-accept-0.0.7-r0.apk2025-07-16 12:26 5.3K 
[   ]py3-flask-accept-pyc-0.0.7-r0.apk2025-07-16 12:26 3.4K 
[   ]py3-flask-admin-1.6.1-r3.apk2024-04-30 01:07 6.5M 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-04-30 01:07 358K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-04-30 01:07 4.8K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-04-30 01:07 4.7K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-04-30 01:07 5.1K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-04-30 01:07 3.8K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-04-30 01:07 6.9K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-04-30 01:07 5.5K 
[   ]py3-flask-bootstrap-3.3.7.1-r9.apk2025-05-15 20:20 448K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r9.apk2025-05-15 20:20 11K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-04-30 01:07 12K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-04-30 01:07 18K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-08-30 21:44 4.5K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-08-30 21:44 3.8K 
[   ]py3-flask-components-0.1.1-r9.apk2024-04-30 01:07 3.6K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-04-30 01:07 3.0K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-04-30 01:07 85K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-04-30 01:07 6.0K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-07 00:51 10K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-07 00:51 13K 
[   ]py3-flask-gzip-0.2-r8.apk2024-04-30 01:07 2.9K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-04-30 01:07 2.5K 
[   ]py3-flask-headers-1.0-r9.apk2024-04-30 01:07 2.9K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-04-30 01:07 2.2K 
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-04-30 01:07 7.7K 
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-04-30 01:07 10K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-04-30 01:07 3.8K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-04-30 01:07 3.2K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-20 05:38 26K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-20 05:38 47K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-04-30 01:07 5.3K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-04-30 01:07 7.6K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-07-10 03:13 16K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-07-10 03:13 25K 
[   ]py3-flask-markdown-0.3-r8.apk2024-04-30 01:07 5.3K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-04-30 01:07 3.6K 
[   ]py3-flask-migrate-4.1.0-r0.apk2025-07-16 12:26 13K 
[   ]py3-flask-migrate-pyc-4.1.0-r0.apk2025-07-16 12:26 18K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-04-30 01:07 7.9K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-04-30 01:07 11K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-09-22 22:04 172K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-09-22 22:04 95K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 06:32 18K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 06:32 5.9K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-04-30 01:07 115K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-04-30 01:07 20K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-04-30 01:07 40K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-04-30 01:07 59K 
[   ]py3-flask-security-5.6.1-r0.apk2025-04-21 21:40 294K 
[   ]py3-flask-security-pyc-5.6.1-r0.apk2025-04-21 21:40 227K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-08-08 18:19 7.6K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-08-08 18:19 6.7K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-04-30 01:07 8.7K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-04-30 01:07 9.4K 
[   ]py3-fpdf-1.7.2-r5.apk2024-04-30 01:07 39K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-04-30 01:07 89K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-09-10 22:00 161K 
[   ]py3-furl-2.1.4-r0.apk2025-07-16 12:26 27K 
[   ]py3-furl-pyc-2.1.4-r0.apk2025-07-16 12:26 32K 
[   ]py3-gdcm-3.2.2-r0.apk2025-10-16 22:05 700K 
[   ]py3-geoip-1.3.2-r4.apk2024-08-08 18:23 22K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-08-30 21:44 19K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-08-30 21:44 30K 
[   ]py3-git-versioner-7.1-r1.apk2024-04-30 01:07 12K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-04-30 01:07 13K 
[   ]py3-github3-4.0.1-r1.apk2024-04-30 01:07 128K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-04-30 01:07 227K 
[   ]py3-glob2-0.7-r6.apk2024-07-13 17:11 10K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-07-13 17:11 13K 
[   ]py3-gls-1.3.1-r1.apk2024-04-30 01:07 46K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-04-30 01:07 84K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-04-30 01:07 8.9K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-04-30 01:07 10K 
[   ]py3-googletrans-3.0.0-r5.apk2024-08-07 15:51 15K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2024-08-07 15:51 17K 
[   ]py3-grequests-0.7.0-r3.apk2025-05-15 20:20 6.5K 
[   ]py3-grequests-pyc-0.7.0-r3.apk2025-05-15 20:20 5.6K 
[   ]py3-gtkspellcheck-5.0.3-r1.apk2025-08-10 16:56 45K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r1.apk2025-08-10 16:56 29K 
[   ]py3-halo-0.0.31-r5.apk2024-08-07 01:50 11K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-08-07 01:50 14K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-04-30 01:07 24K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-04-30 01:07 24K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-04-30 01:07 12K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-04-30 01:07 13K 
[   ]py3-helper-2.5.0-r5.apk2024-08-07 01:50 19K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-08-07 01:50 28K 
[   ]py3-hfst-3.16.2-r0.apk2025-04-07 08:33 372K 
[   ]py3-hg-git-1.1.1-r1.apk2024-04-30 01:07 70K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-04-30 01:07 107K 
[   ]py3-highctidh-1.0.2024092800-r0.apk2024-11-25 20:33 333K 
[   ]py3-highctidh-pyc-1.0.2024092800-r0.apk2024-11-25 20:33 11K 
[   ]py3-himitsu-0.0.9-r0.apk2025-08-25 11:16 5.5K 
[   ]py3-himitsu-pyc-0.0.9-r0.apk2025-08-25 11:16 7.3K 
[   ]py3-hishel-0.1.4-r0.apk2025-10-15 22:29 77K 
[   ]py3-hishel-pyc-0.1.4-r0.apk2025-10-15 22:29 143K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-04-30 01:07 167K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-04-30 01:07 22K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-08-07 01:50 4.4K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-08-07 01:50 3.0K 
[   ]py3-hypercorn-0.17.3-r0.apk2025-07-23 06:28 47K 
[   ]py3-hypercorn-pyc-0.17.3-r0.apk2025-07-23 06:28 120K 
[   ]py3-igraph-0.11.9-r0.apk2025-06-11 21:20 406K 
[   ]py3-igraph-dev-0.11.9-r0.apk2025-06-11 21:20 2.3K 
[   ]py3-igraph-pyc-0.11.9-r0.apk2025-06-11 21:20 371K 
[   ]py3-imageio-2.37.0-r0.apk2025-07-16 12:26 285K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-07-10 17:46 16K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-07-10 17:46 20K 
[   ]py3-imageio-pyc-2.37.0-r0.apk2025-07-16 12:26 504K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-08-08 18:23 229K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-08-08 18:23 242K 
[   ]py3-incoming-0.3.1-r8.apk2024-08-07 01:50 13K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-08-07 01:50 20K 
[   ]py3-infinity-1.5-r6.apk2024-08-30 21:44 4.1K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-08-30 21:44 3.5K 
[   ]py3-iniparse-0.5-r7.apk2024-08-30 21:44 18K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-08-30 21:44 10K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-08-30 21:44 24K 
[   ]py3-intervals-0.9.2-r5.apk2024-08-30 21:44 9.2K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-08-30 21:44 15K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-27 21:46 11K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-27 21:46 4.4K 
[   ]py3-irc-20.4.1-r1.apk2025-10-06 06:09 40K 
[   ]py3-irc-pyc-20.4.1-r1.apk2025-10-06 06:09 70K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-20 05:38 42K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-20 05:38 66K 
[   ]py3-iso639-lang-2.2.3-r0.apk2024-04-30 01:07 269K 
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-04-30 01:07 9.4K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 21:40 11K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 21:40 12K 
[   ]py3-itemloaders-1.3.2-r1.apk2025-08-10 16:56 12K 
[   ]py3-itemloaders-pyc-1.3.2-r1.apk2025-08-10 16:56 16K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-06-27 05:33 5.8K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-06-27 05:33 5.0K 
[   ]py3-itunespy-1.6-r5.apk2025-05-15 20:20 9.5K 
[   ]py3-itunespy-pyc-1.6-r5.apk2025-05-15 20:20 14K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 04:58 12K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 04:58 13K 
[   ]py3-jaraco.logging-3.4.0-r0.apk2025-06-17 22:42 5.1K 
[   ]py3-jaraco.logging-pyc-3.4.0-r0.apk2025-06-17 22:42 5.9K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-17 13:56 7.4K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-17 13:56 9.3K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-15 02:08 6.5K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-15 02:08 7.8K 
[   ]py3-jaraco.vcs-2.4.1-r0.apk2025-03-10 14:12 9.9K 
[   ]py3-jaraco.vcs-pyc-2.4.1-r0.apk2025-03-10 14:12 16K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-05-12 22:34 5.6K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-05-12 22:34 5.8K 
[   ]py3-joserfc-1.4.0-r0.apk2025-10-15 12:16 53K 
[   ]py3-joserfc-pyc-1.4.0-r0.apk2025-10-15 12:16 107K 
[   ]py3-junit-xml-1.9-r3.apk2024-08-07 01:50 8.1K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-08-07 01:50 9.1K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-08-07 01:50 125K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-08-07 01:50 244K 
[   ]py3-keepalive-0.5-r5.apk2024-04-30 01:07 8.7K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-04-30 01:07 1.7K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-04-30 01:07 13K 
[   ]py3-kerberos-1.3.1-r5.apk2024-08-07 01:50 17K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-04-30 01:07 8.1K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-04-30 01:07 9.2K 
[   ]py3-langcodes-3.3.0-r2.apk2024-04-30 01:07 173K 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-04-30 01:07 109K 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 20:08 5.0M 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 20:08 3.0M 
[   ]py3-latex2mathml-3.78.1-r1.apk2025-09-29 19:46 72K 
[   ]py3-latex2mathml-pyc-3.78.1-r1.apk2025-09-29 19:46 35K 
[   ]py3-lib_users-0.15-r4.apk2024-08-07 15:51 15K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-08-07 15:51 9.3K 
[   ]py3-libacl-0.7.3-r0.apk2025-10-16 22:08 26K 
[   ]py3-libiio-0.25-r2.apk2024-08-07 01:50 12K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-09 00:30 29K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-09 00:30 49K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-08-07 15:51 28K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-08-07 15:51 33K 
[   ]py3-libnacl-2.1.0-r1.apk2024-04-30 01:07 20K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-04-30 01:07 30K 
[   ]py3-libpyshell-0.4.1-r1.apk2025-06-10 09:39 11K 
[   ]py3-libpyshell-pyc-0.4.1-r1.apk2025-06-10 09:39 18K 
[   ]py3-librtmp-0.3.0-r6.apk2024-04-30 01:07 36K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-04-30 01:07 24K 
[   ]py3-limits-3.14.1-r0.apk2024-12-26 04:50 33K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-26 04:50 71K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-04-30 01:07 21K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-04-30 01:07 23K 
[   ]py3-linux-procfs-0.7.3-r0.apk2025-01-13 21:20 13K 
[   ]py3-linux-procfs-pyc-0.7.3-r0.apk2025-01-13 21:20 22K 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-07-10 03:13 1.4K 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-07-10 03:13 1.1M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-07-10 03:13 5.6M 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-07-10 03:13 1.9M 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-07-10 03:13 934K 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-07-10 03:13 500K 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-07-10 03:13 10M 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-07-10 03:13 1.8M 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-07-10 03:13 112K 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-07-10 03:13 208K 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-07-10 03:13 19M 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-07-10 03:13 45K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-07-10 03:13 221K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-07-10 03:13 7.3K 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-07-10 03:13 230K 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-07-10 03:13 57M 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-07-10 03:13 675K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-07-10 03:13 712K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-07-10 03:13 2.4M 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-07-10 03:13 58K 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-07-10 03:13 2.2M 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-07-10 03:13 4.7M 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-07-10 03:13 111K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 22:35 766K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 22:35 30K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-08-07 01:50 3.9K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-08-07 01:50 2.8K 
[   ]py3-logtop-0.7-r1.apk2025-08-10 16:56 22K 
[   ]py3-logtop-pyc-0.7-r1.apk2025-08-10 16:56 3.8K 
[   ]py3-lsp-black-2.0.0-r1.apk2024-04-30 01:07 7.2K 
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-04-30 01:07 6.1K 
[   ]py3-lsp-mypy-0.7.0-r1.apk2025-08-30 01:42 13K 
[   ]py3-lsp-mypy-pyc-0.7.0-r1.apk2025-08-30 01:42 12K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-04-30 01:07 69K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-04-30 01:07 107K 
[   ]py3-luhn-0.2.0-r9.apk2024-08-07 01:50 3.7K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-08-07 01:50 2.4K 
[   ]py3-lunr-0.6.2-r4.apk2024-08-07 01:50 32K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-08-07 01:50 50K 
[   ]py3-lxmf-0.8.0-r0.apk2025-09-28 04:37 51K 
[   ]py3-lxmf-pyc-0.8.0-r0.apk2025-09-28 04:37 109K 
[   ]py3-ly-0.9.9-r0.apk2025-07-16 12:26 186K 
[   ]py3-ly-doc-0.9.9-r0.apk2025-07-16 12:26 7.8K 
[   ]py3-ly-pyc-0.9.9-r0.apk2025-07-16 12:26 352K 
[   ]py3-lzo-1.16-r1.apk2024-04-30 01:07 16K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-04-30 01:07 1.7K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-08-09 22:31 199K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-08-09 22:31 122K 
[   ]py3-maidenhead-1.8.0-r0.apk2025-08-11 01:55 7.7K 
[   ]py3-maidenhead-doc-1.8.0-r0.apk2025-08-11 01:55 3.4K 
[   ]py3-maidenhead-pyc-1.8.0-r0.apk2025-08-11 01:55 7.7K 
[   ]py3-mando-0.7.1-r3.apk2024-08-30 21:44 22K 
[   ]py3-mando-doc-0.7.1-r3.apk2024-08-30 21:44 3.9K 
[   ]py3-mando-pyc-0.7.1-r3.apk2024-08-30 21:44 36K 
[   ]py3-manuel-1.13.0-r1.apk2025-10-15 12:16 39K 
[   ]py3-manuel-pyc-1.13.0-r1.apk2025-10-15 12:16 25K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-08-07 01:50 60K 
[   ]py3-marisa-trie-1.3.1-r0.apk2025-08-29 11:40 135K 
[   ]py3-markdown2-2.5.0-r0.apk2024-08-29 10:07 47K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-08-29 10:07 75K 
[   ]py3-markdownify-1.2.0-r0.apk2025-08-11 12:44 16K 
[   ]py3-markdownify-pyc-1.2.0-r0.apk2025-08-11 12:44 18K 
[   ]py3-marshmallow-3.26.1-r0.apk2025-02-21 23:45 47K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-04-30 01:07 5.0K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-04-30 01:07 4.2K 
[   ]py3-marshmallow-pyc-3.26.1-r0.apk2025-02-21 23:45 85K 
[   ]py3-mbedtls-2.10.1-r3.apk2025-05-29 12:38 947K 
[   ]py3-mbedtls-pyc-2.10.1-r3.apk2025-05-29 12:38 27K 
[   ]py3-meshtastic-2.7.2-r0.apk2025-09-26 04:02 540K 
[   ]py3-migen-0.9.2-r2.apk2024-04-30 01:07 142K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-04-30 01:07 295K 
[   ]py3-milc-1.9.1-r0.apk2025-01-25 16:05 26K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-25 16:05 41K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 19:03 9.8K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 19:03 23K 
[   ]py3-minidump-0.0.24-r1.apk2025-05-29 12:38 63K 
[   ]py3-minidump-pyc-0.0.24-r1.apk2025-05-29 12:38 129K 
[   ]py3-minikerberos-0.4.7-r1.apk2025-10-18 08:15 122K 
[   ]py3-minikerberos-examples-0.4.7-r1.apk2025-10-18 08:15 16K 
[   ]py3-minikerberos-pyc-0.4.7-r1.apk2025-10-18 08:15 282K 
[   ]py3-minio-7.2.13-r0.apk2024-12-26 04:50 76K 
[   ]py3-minio-pyc-7.2.13-r0.apk2024-12-26 04:50 160K 
[   ]py3-mistletoe-1.4.0-r0.apk2025-03-19 18:48 44K 
[   ]py3-mistletoe-pyc-1.4.0-r0.apk2025-03-19 18:48 93K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-06 12:00 95K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-06 12:00 2.1K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-06 12:00 9.4K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-08-07 01:50 25K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-08-07 01:50 48K 
[   ]py3-modern_colorthief-0.1.7-r0.apk2025-06-16 18:34 788K 
[   ]py3-modern_colorthief-pyc-0.1.7-r0.apk2025-06-16 18:34 2.3K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-08-07 01:50 24K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-08-07 01:50 36K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-03 17:12 28K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-03 17:12 34K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-04-30 01:07 46K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-04-30 01:07 74K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-08-07 01:50 24K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-08-07 01:50 35K 
[   ]py3-more-properties-1.1.1-r3.apk2024-04-30 01:07 7.2K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-04-30 01:07 7.9K 
[   ]py3-moviepy-1.0.3-r6.apk2024-08-07 01:50 94K 
[   ]py3-moviepy-pyc-1.0.3-r6.apk2024-08-07 01:50 155K 
[   ]py3-msldap-0.5.15-r2.apk2025-10-18 08:15 126K 
[   ]py3-msldap-examples-0.5.15-r2.apk2025-10-18 08:15 18K 
[   ]py3-msldap-pyc-0.5.15-r2.apk2025-10-18 08:15 330K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 12:57 50K 
[   ]py3-natpmp-1.3.2-r1.apk2024-04-30 01:07 9.1K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-04-30 01:07 9.6K 
[   ]py3-ncclient-0.6.13-r5.apk2024-09-02 20:36 68K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-09-02 20:36 106K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-04-30 01:07 187K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-04-30 01:07 8.9K 
[   ]py3-netmiko-4.6.0-r0.apk2025-08-13 19:49 192K 
[   ]py3-netmiko-pyc-4.6.0-r0.apk2025-08-13 19:49 372K 
[   ]py3-nikola-8.3.3-r0.apk2025-10-15 12:16 4.3M 
[   ]py3-nikola-doc-8.3.3-r0.apk2025-10-15 12:16 61K 
[   ]py3-nikola-pyc-8.3.3-r0.apk2025-10-15 12:16 545K 
[   ]py3-nmap-0.7.1-r4.apk2024-08-07 15:51 20K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-08-07 15:51 25K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-08-07 15:51 9.1K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-08-07 15:51 9.7K 
[   ]py3-notifymail-1.1-r8.apk2024-08-07 01:50 7.3K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-08-07 01:50 5.5K 
[   ]py3-nptyping-2.5.0-r3.apk2024-09-02 20:36 21K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-09-02 20:36 32K 
[   ]py3-ntplib-0.4.0-r5.apk2024-08-30 21:44 7.1K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-08-30 21:44 8.4K 
[   ]py3-numpy-stl-3.2.0-r0.apk2024-12-01 02:11 21K 
[   ]py3-numpy-stl-pyc-3.2.0-r0.apk2024-12-01 02:11 28K 
[   ]py3-nwdiag-3.0.0-r3.apk2024-08-07 01:50 4.9M 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-08-07 01:50 78K 
[   ]py3-okonomiyaki-2.0.0-r1.apk2025-10-15 12:16 7.9M 
[   ]py3-okonomiyaki-pyc-2.0.0-r1.apk2025-10-15 12:16 243K 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-04-30 01:07 7.4K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-04-30 01:07 11K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-27 21:08 152K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-04-30 01:07 8.0K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-04-30 01:07 10K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-08-07 15:51 497K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-08-07 15:51 42K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-08-07 01:50 12K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-08-07 01:50 17K 
[   ]py3-osqp-0.6.2-r6.apk2024-08-07 01:50 100K 
[   ]py3-osqp-dev-0.6.2-r6.apk2024-08-07 01:50 49K 
[   ]py3-osqp-pyc-0.6.2-r6.apk2024-08-07 01:50 76K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-05-31 19:58 9.7K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-05-31 19:58 8.0K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-09-12 21:31 46K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-09-12 21:31 91K 
[   ]py3-ovos-bus-client-1.3.4-r0.apk2025-04-25 20:35 50K 
[   ]py3-ovos-bus-client-pyc-1.3.4-r0.apk2025-04-25 20:35 89K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-05-31 19:58 103K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-05-31 19:58 163K 
[   ]py3-ovos-config-2.1.1-r0.apk2025-07-16 12:26 47K 
[   ]py3-ovos-config-pyc-2.1.1-r0.apk2025-07-16 12:26 35K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-05-31 19:58 358K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-05-31 19:58 436K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk2025-07-16 12:26 8.6K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk2025-07-16 12:26 4.5K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-05-31 19:58 550K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-05-31 19:58 86K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-09-12 21:31 47K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-09-12 21:31 103K 
[   ]py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk2024-09-12 21:31 7.8K 
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk2024-09-12 21:31 3.1K 
[   ]py3-ovos-ocp-news-plugin-0.1.1-r0.apk2025-07-16 12:26 11K 
[   ]py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk2025-07-16 12:26 8.6K 
[   ]py3-ovos-ocp-rss-plugin-0.1.1-r0.apk2025-07-16 12:26 7.9K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk2025-07-16 12:26 3.6K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk2025-07-16 12:26 8.5K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk2025-07-16 12:26 4.8K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-04-30 01:07 4.2K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-04-30 01:07 4.1K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 13:43 95K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 13:43 11K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 13:43 12K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 13:43 9.4K 
[   ]py3-ovos-phal-plugin-system-1.3.3-r0.apk2025-07-16 12:26 11K 
[   ]py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk2025-07-16 12:26 9.8K 
[   ]py3-ovos-plugin-manager-1.0.3-r0.apk2025-07-16 12:26 86K 
[   ]py3-ovos-plugin-manager-pyc-1.0.3-r0.apk2025-07-16 12:26 167K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-04-30 01:07 9.8K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-04-30 01:07 6.5K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-05-31 19:58 8.1K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-05-31 19:58 3.8K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-05-31 19:58 9.3K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-05-31 19:58 5.2K 
[   ]py3-ovos-utils-0.8.1-r0.apk2025-07-16 12:26 72K 
[   ]py3-ovos-utils-pyc-0.8.1-r0.apk2025-07-16 12:26 130K 
[   ]py3-ovos-workshop-7.0.6-r0.apk2025-07-16 12:26 92K 
[   ]py3-ovos-workshop-pyc-7.0.6-r0.apk2025-07-16 12:26 165K 
[   ]py3-owslib-0.34.1-r0.apk2025-07-16 12:26 195K 
[   ]py3-owslib-pyc-0.34.1-r0.apk2025-07-16 12:26 424K 
[   ]py3-pacparser-1.4.5-r1.apk2024-09-14 18:10 409K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-09-14 18:10 3.8K 
[   ]py3-padacioso-0.2.1-r0.apk2024-05-31 19:58 11K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-05-31 19:58 12K 
[   ]py3-pam-2.0.2-r2.apk2024-04-30 01:07 11K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-04-30 01:07 13K 
[   ]py3-pathvalidate-3.3.1-r0.apk2025-07-16 12:26 19K 
[   ]py3-pathvalidate-pyc-3.3.1-r0.apk2025-07-16 12:26 33K 
[   ]py3-pbkdf2-1.3-r7.apk2024-08-07 01:50 6.0K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-08-07 01:50 6.8K 
[   ]py3-pdal-3.4.5-r1.apk2025-06-21 22:06 163K 
[   ]py3-pdal-pyc-3.4.5-r1.apk2025-06-21 22:06 13K 
[   ]py3-pdoc-15.0.4-r0.apk2025-10-15 12:16 133K 
[   ]py3-pdoc-pyc-15.0.4-r0.apk2025-10-15 12:16 169K 
[   ]py3-pelican-4.9.1-r2.apk2024-04-30 01:07 233K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-04-30 01:07 147K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-09-19 21:34 9.5K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-09-19 21:34 13K 
[   ]py3-phpserialize-1.3-r8.apk2024-08-07 15:51 8.6K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-08-07 15:51 10K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-18 07:36 13K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-18 07:36 2.0K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-18 07:36 17K 
[   ]py3-piccata-2.0.3-r1.apk2024-04-30 01:07 20K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-04-30 01:07 34K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-04-30 01:07 7.2K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-04-30 01:07 5.1K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-09-27 06:09 43K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-09-27 06:09 35K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-04-30 01:07 6.7K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-04-30 01:07 4.4K 
[   ]py3-piper-phonemize-2023.11.14.4-r9.apk2025-10-15 22:29 144K 
[   ]py3-piper-phonemize-pyc-2023.11.14.4-r9.apk2025-10-15 22:29 3.1K 
[   ]py3-piper-tts-2023.11.14.2-r14.apk2025-10-15 22:29 41K 
[   ]py3-playsound-1.3.0-r1.apk2024-04-30 01:07 6.6K 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-04-30 01:07 8.3K 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 07:36 18K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 07:36 33K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-08-07 15:51 15K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-08-07 15:51 25K 
[   ]py3-poetry-dynamic-versioning-1.9.1-r0.apk2025-07-25 23:43 20K 
[   ]py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk2025-07-25 23:43 26K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-30 01:09 130K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 21:40 16K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 21:40 16K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-04-30 01:07 14K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-04-30 01:07 16K 
[   ]py3-prctl-1.8.1-r0.apk2025-10-20 13:52 12K 
[   ]py3-prctl-pyc-1.8.1-r0.apk2025-10-20 13:52 7.0K 
[   ]py3-prefixed-0.9.0-r0.apk2025-08-28 09:32 14K 
[   ]py3-prefixed-pyc-0.9.0-r0.apk2025-08-28 09:32 8.3K 
[   ]py3-print-color-0.4.6-r0.apk2024-09-09 12:41 8.6K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-09-09 12:41 2.4K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-09-09 12:41 4.7K 
[   ]py3-priority-2.0.0-r0.apk2025-07-23 06:28 9.6K 
[   ]py3-priority-pyc-2.0.0-r0.apk2025-07-23 06:28 9.1K 
[   ]py3-proglog-0.1.10-r2.apk2024-04-30 01:07 7.1K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-04-30 01:07 9.6K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 21:40 9.1K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 21:40 11K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 11:36 17K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 11:36 27K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-09-27 08:22 21K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-09-27 08:22 37K 
[   ]py3-py-radix-0.10.0-r10.apk2024-09-14 05:17 19K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-09-14 05:17 10K 
[   ]py3-pyatem-0.5.0-r4.apk2024-09-30 12:42 52K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-09-30 12:42 91K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-09-02 20:37 35K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-09-02 20:37 45K 
[   ]py3-pybars3-0.9.7-r6.apk2024-08-07 01:50 15K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-08-07 01:50 17K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-03 05:33 360K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-08-07 01:50 5.2K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-08-07 01:50 3.5K 
[   ]py3-pycosat-0.6.6-r2.apk2024-08-07 15:51 46K 
[   ]py3-pydes-2.0.1-r5.apk2024-08-07 01:50 10K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-08-07 01:50 3.4K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-08-07 01:50 13K 
[   ]py3-pygelbooru-1.0.0-r0.apk2025-07-30 06:05 20K 
[   ]py3-pygelbooru-pyc-1.0.0-r0.apk2025-07-30 06:05 11K 
[   ]py3-pygfm-2.0.0-r2.apk2024-08-07 15:51 12K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-08-07 15:51 13K 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 10:08 1.4M 
[   ]py3-pygpgme-0.3.1-r9.apk2024-08-07 01:50 37K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-08-07 01:50 4.8K 
[   ]py3-pygtail-0.14.0-r3.apk2024-04-30 01:07 15K 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-04-30 01:07 9.8K 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-05-13 17:30 1.9M 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-05-13 17:30 502K 
[   ]py3-pyinstrument-5.1.1-r0.apk2025-08-13 06:00 109K 
[   ]py3-pyinstrument-pyc-5.1.1-r0.apk2025-08-13 06:00 100K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-08-07 01:50 20K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-08-07 01:50 9.1K 
[   ]py3-pylru-1.2.1-r1.apk2024-04-30 01:07 16K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-04-30 01:07 8.7K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-08-30 21:44 18K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-08-07 01:50 35K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-08-07 01:50 52K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-08-30 21:44 31K 
[   ]py3-pymata-2.20-r4.apk2024-08-08 18:19 22K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-08-08 18:19 29K 
[   ]py3-pymata4-1.15-r4.apk2024-08-30 21:44 23K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-08-30 21:44 31K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-08-08 18:19 16K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-08-08 18:19 31K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-08-07 15:51 8.9K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-08-07 15:51 9.6K 
[   ]py3-pymsteams-0.2.5-r0.apk2025-02-17 12:15 12K 
[   ]py3-pymsteams-pyc-0.2.5-r0.apk2025-02-17 12:15 6.3K 
[   ]py3-pymupdf-1.26.4-r0.apk2025-09-26 04:02 318K 
[   ]py3-pymupdf-pyc-1.26.4-r0.apk2025-09-26 04:02 500K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 05:25 233K 
[   ]py3-pyparted-3.13.0-r1.apk2024-04-30 01:07 78K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-04-30 01:07 42K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-05-07 08:33 52K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-05-07 08:33 1.9K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-05-07 08:33 90K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-05-08 23:09 36K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-05-08 23:09 4.0K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-05-08 23:09 47K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-08-07 01:50 9.4K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-08-07 01:50 17K 
[   ]py3-pyroma-4.2-r0.apk2024-04-30 01:07 22K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-04-30 01:07 26K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-09-02 20:37 14K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-09-02 20:37 14K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-08-07 01:50 43K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-08-07 01:50 78K 
[   ]py3-pysonic-1.0.3-r0.apk2025-04-16 23:06 35K 
[   ]py3-pysonic-pyc-1.0.3-r0.apk2025-04-16 23:06 32K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-04-30 01:07 56K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-04-30 01:07 63K 
[   ]py3-pysrt-1.1.2-r5.apk2025-05-15 20:20 25K 
[   ]py3-pysrt-pyc-1.1.2-r5.apk2025-05-15 20:20 22K 
[   ]py3-pystache-0.6.5-r1.apk2024-04-30 01:07 68K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-04-30 01:07 96K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-26 04:50 36K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-26 04:50 67K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-26 21:26 40K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-26 21:26 2.6K 
[   ]py3-pytap2-2.3.0-r0.apk2024-05-08 23:09 6.7K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-05-08 23:09 2.5K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-05-08 23:09 5.7K 
[   ]py3-pyte-0.8.2-r3.apk2025-05-15 20:20 30K 
[   ]py3-pyte-pyc-0.8.2-r3.apk2025-05-15 20:20 39K 
[   ]py3-pytest-datadir-1.8.0-r0.apk2025-08-05 13:16 6.8K 
[   ]py3-pytest-datadir-pyc-1.8.0-r0.apk2025-08-05 13:16 5.1K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-08-30 21:44 5.6K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-08-30 21:44 6.7K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-19 23:08 4.3K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-19 23:08 2.5K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-04-30 01:07 21K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-04-30 01:07 21K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-04-30 01:07 9.9K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-04-30 01:07 7.6K 
[   ]py3-pytest-regtest-2.3.5-r0.apk2025-10-15 12:16 16K 
[   ]py3-pytest-regtest-pyc-2.3.5-r0.apk2025-10-15 12:16 30K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-08-07 15:51 19K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-08-07 15:51 24K 
[   ]py3-pytest-textual-snapshot-1.1.0-r0.apk2025-08-10 16:56 8.9K 
[   ]py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk2025-08-10 16:56 10K 
[   ]py3-python-archive-0.2-r7.apk2024-08-30 21:44 7.1K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-08-30 21:44 9.1K 
[   ]py3-python-iptables-1.0.1-r1.apk2024-04-30 01:07 38K 
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-04-30 01:07 68K 
[   ]py3-python-jwt-4.1.0-r2.apk2025-05-16 01:50 7.8K 
[   ]py3-python-jwt-pyc-4.1.0-r2.apk2025-05-16 01:50 6.2K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-08-07 01:50 8.3K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-08-07 01:50 2.0K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-08-07 01:50 8.2K 
[   ]py3-python-stdnum-1.20-r0.apk2024-09-20 00:00 805K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-09-20 00:00 293K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-12 04:08 22K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-12 04:08 40K 
[   ]py3-pyzor-1.1.2-r0.apk2025-08-14 06:27 40K 
[   ]py3-pyzor-pyc-1.1.2-r0.apk2025-08-14 06:27 54K 
[   ]py3-qasync-0.19.0-r2.apk2024-08-08 18:23 37K 
[   ]py3-qdldl-0.1.5-r4.apk2024-08-08 18:19 90K 
[   ]py3-qpageview-0.6.2-r1.apk2024-04-30 01:07 98K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-04-30 01:07 56K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-04-30 01:07 180K 
[   ]py3-qt.py-1.3.10-r1.apk2024-08-07 15:51 32K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-08-07 15:51 24K 
[   ]py3-quart-0.20.0-r0.apk2025-07-23 06:28 68K 
[   ]py3-quart-pyc-0.20.0-r0.apk2025-07-23 06:28 147K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-04-30 01:07 8.5K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-04-30 01:07 7.5K 
[   ]py3-queuelib-1.8.0-r0.apk2025-09-01 18:59 12K 
[   ]py3-queuelib-pyc-1.8.0-r0.apk2025-09-01 18:59 24K 
[   ]py3-rabbit-1.1.0-r8.apk2024-08-07 01:50 11K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-08-07 01:50 15K 
[   ]py3-radon-6.0.1-r2.apk2024-08-30 21:44 31K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-08-30 21:44 4.9K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-08-30 21:44 49K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-08-07 01:50 11K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-08-07 01:50 17K 
[   ]py3-recurring-ical-events-3.8.0-r0.apk2025-06-14 18:40 39K 
[   ]py3-recurring-ical-events-pyc-3.8.0-r0.apk2025-06-14 18:40 50K 
[   ]py3-redmine-2.5.0-r0.apk2024-09-22 22:04 37K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-09-22 22:04 53K 
[   ]py3-remind-0.19.2-r0.apk2025-04-22 18:32 24K 
[   ]py3-remind-pyc-0.19.2-r0.apk2025-04-22 18:32 22K 
[   ]py3-requests-cache-1.2.1-r1.apk2024-11-18 08:47 50K 
[   ]py3-requests-cache-pyc-1.2.1-r1.apk2024-11-18 08:47 94K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 17:25 12K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 17:25 11K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-04-30 01:07 5.2K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-04-30 01:07 6.3K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-08-07 01:50 13K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-08-07 01:50 12K 
[   ]py3-rich-click-1.7.3-r1.apk2024-04-30 01:07 30K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-04-30 01:07 40K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-08-07 15:51 13K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-08-07 15:51 10K 
[   ]py3-rns-1.0.0-r0.apk2025-09-28 04:37 344K 
[   ]py3-rns-pyc-1.0.0-r0.apk2025-09-28 04:37 704K 
[   ]py3-rofi-1.0.1-r1.apk2025-08-10 16:56 12K 
[   ]py3-rofi-pyc-1.0.1-r1.apk2025-08-10 16:56 11K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-04-30 01:07 47K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-04-30 01:07 91K 
[   ]py3-rospkg-1.2.9-r5.apk2024-04-30 01:07 29K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-04-30 01:07 54K 
[   ]py3-rpio-0.10.1-r8.apk2024-08-07 01:50 35K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-08-07 01:50 16K 
[   ]py3-rst-0.1-r9.apk2024-08-08 18:23 5.3K 
[   ]py3-rst-pyc-0.1-r9.apk2024-08-08 18:23 5.9K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-05-12 22:34 5.8K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-05-12 22:34 6.4K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-22 21:54 12K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-22 21:54 2.0K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-22 21:54 24K 
[   ]py3-rst2pdf-0.102-r0.apk2025-06-10 19:26 154K 
[   ]py3-rst2pdf-pyc-0.102-r0.apk2025-06-10 19:26 206K 
[   ]py3-rtree-1.4.1-r0.apk2025-08-14 20:32 26K 
[   ]py3-rtree-pyc-1.4.1-r0.apk2025-08-14 20:32 48K 
[   ]py3-schema-0.7.7-r0.apk2025-07-07 17:08 19K 
[   ]py3-schema-pyc-0.7.7-r0.apk2025-07-07 17:08 20K 
[   ]py3-scour-0.38.2-r1.apk2024-04-30 01:07 56K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-04-30 01:07 73K 
[   ]py3-scrapy-2.11.1-r1.apk2024-04-30 01:07 240K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-04-30 01:07 482K 
[   ]py3-scs-3.2.3-r4.apk2024-08-07 01:50 94K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-08-07 01:50 4.7K 
[   ]py3-senf-1.5.0-r0.apk2025-06-27 02:59 20K 
[   ]py3-senf-pyc-1.5.0-r0.apk2025-06-27 02:59 32K 
[   ]py3-seqdiag-3.0.0-r5.apk2024-04-30 01:07 2.5M 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-04-30 01:07 42K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-04-30 01:07 5.1K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-04-30 01:07 5.7K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 15:25 38K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 15:25 55K 
[   ]py3-shodan-1.31.0-r1.apk2024-04-30 01:07 44K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-04-30 01:07 6.9K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-04-30 01:07 79K 
[   ]py3-simber-0.2.6-r5.apk2025-05-15 20:20 12K 
[   ]py3-simber-pyc-0.2.6-r5.apk2025-05-15 20:20 16K 
[   ]py3-simple-websocket-1.1.0-r0.apk2025-09-26 04:02 11K 
[   ]py3-simple-websocket-doc-1.1.0-r0.apk2025-09-26 04:02 2.0K 
[   ]py3-simple-websocket-pyc-1.1.0-r0.apk2025-09-26 04:02 22K 
[   ]py3-simpleeval-1.0.3-r0.apk2025-06-24 19:38 15K 
[   ]py3-simpleeval-pyc-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-simplematch-1.4-r1.apk2024-04-30 01:07 7.7K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-04-30 01:07 5.5K 
[   ]py3-simplesat-0.8.2-r0.apk2024-07-23 01:14 214K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-07-23 01:14 156K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-08-07 01:50 7.6K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-08-07 01:50 12K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-08-07 15:51 7.2K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-08-07 15:51 7.8K 
[   ]py3-slidge-style-parser-0.1.9-r0.apk2025-04-15 14:08 209K 
[   ]py3-slidge-style-parser-pyc-0.1.9-r0.apk2025-04-15 14:08 1.7K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-04-30 01:07 382K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-04-30 01:07 5.5K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-04-30 01:07 729K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-08-07 01:50 15K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-08-07 01:50 25K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-02 23:58 47K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-02 23:58 95K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-08-07 01:50 17K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-08-07 01:50 26K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-08-08 18:19 10K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-08-08 18:19 14K 
[   ]py3-spake2-0.9-r0.apk2024-09-30 15:25 30K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-09-30 15:25 43K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-08-29 10:07 14K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-08-29 10:07 22K 
[   ]py3-sphinx-autoapi-3.6.1-r0.apk2025-10-15 12:16 31K 
[   ]py3-sphinx-autoapi-pyc-3.6.1-r0.apk2025-10-15 12:16 59K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-08-07 01:50 10K 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-08-07 01:50 1.7K 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-08-07 01:50 1.2M 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-08-07 01:50 2.1K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-04-30 01:07 64K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-04-30 01:07 1.6K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-04-30 01:07 81K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-04-30 01:07 42K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-04-30 01:07 30K 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-04-30 01:07 2.2K 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-08-07 01:50 2.4M 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-08-07 01:50 5.3K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-04-30 01:07 8.5K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-04-30 01:07 1.9K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-08-07 01:50 7.4K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-08-07 01:50 9.0K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-04-30 01:07 8.7K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-04-30 01:07 12K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-04-30 01:07 5.4K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-04-30 01:07 3.8K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-04-30 01:07 7.3K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-04-30 01:07 8.9K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-04-30 01:07 5.4K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-04-30 01:07 4.0K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-04-30 01:07 18K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-04-30 01:07 33K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-04-30 01:07 8.8K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-04-30 01:07 3.2K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-04-30 01:07 3.6K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-04-30 01:07 3.1K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-04-30 01:07 17K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-04-30 01:07 6.3K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-08-30 21:44 7.6K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-08-30 21:44 7.4K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-04-30 01:07 10K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-04-30 01:07 15K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-04-30 01:07 18K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-04-30 01:07 4.1K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-04-30 01:07 34K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-04-30 01:07 21K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-04-30 01:07 44K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-04-30 01:07 10K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-04-30 01:07 15K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-04-30 01:07 11K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-04-30 01:07 12K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-04-30 01:07 7.6K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-04-30 01:07 10K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-04-30 01:07 3.9K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-04-30 01:07 2.9K 
[   ]py3-sphinxcontrib-mermaid-1.0.0-r0.apk2025-05-10 01:44 10K 
[   ]py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk2025-05-10 01:44 16K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-04-30 01:07 8.4K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-04-30 01:07 11K 
[   ]py3-sphinxcontrib-phpdomain-0.13.0-r0.apk2025-07-16 12:26 11K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk2025-07-16 12:26 17K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-08-07 15:51 16K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-08-07 15:51 24K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-04-30 01:07 11K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-04-30 01:07 20K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-04-30 01:07 7.4K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-04-30 01:07 9.0K 
[   ]py3-sphinxcontrib-slide-1.0.0-r4.apk2025-05-15 20:20 4.6K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk2025-05-15 20:20 5.3K 
[   ]py3-sphinxcontrib-spelling-8.0.1-r0.apk2025-07-16 12:26 13K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk2025-07-16 12:26 19K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-04-30 01:07 7.1K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-04-30 01:07 4.6K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-04-30 01:07 5.8K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-04-30 01:07 5.4K 
[   ]py3-spidev-3.6-r1.apk2024-07-10 17:46 14K 
[   ]py3-spin-0.8-r0.apk2024-04-30 01:07 18K 
[   ]py3-spin-pyc-0.8-r0.apk2024-04-30 01:07 24K 
[   ]py3-spinners-0.0.24-r5.apk2024-08-30 21:44 5.8K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-08-30 21:44 6.0K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 08:00 118K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 08:00 219K 
[   ]py3-spotipy-2.24.0-r3.apk2025-10-15 12:16 29K 
[   ]py3-spotipy-pyc-2.24.0-r3.apk2025-10-15 12:16 49K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-07 00:51 26K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-07 00:51 41K 
[   ]py3-sssd-2.11.1-r1.apk2025-08-10 16:56 58K 
[   ]py3-sssd-pyc-2.11.1-r1.apk2025-08-10 16:56 48K 
[   ]py3-sstash-0.17-r9.apk2024-08-30 21:44 7.5K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-08-30 21:44 10K 
[   ]py3-svglib-1.5.1-r0.apk2025-06-10 19:26 30K 
[   ]py3-svglib-doc-1.5.1-r0.apk2025-06-10 19:26 1.8K 
[   ]py3-svglib-pyc-1.5.1-r0.apk2025-06-10 19:26 42K 
[   ]py3-svgpath-7.0-r0.apk2025-07-08 06:49 18K 
[   ]py3-svgpath-pyc-7.0-r0.apk2025-07-08 06:49 24K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r1.apk2025-08-10 16:56 9.5K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk2025-08-10 16:56 5.4K 
[   ]py3-tailer-0.4.1-r7.apk2024-04-30 01:07 6.6K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-04-30 01:07 6.4K 
[   ]py3-tasklib-2.5.1-r2.apk2024-04-30 01:07 23K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-04-30 01:07 51K 
[   ]py3-telegram-bot-22.3-r0.apk2025-08-13 19:49 487K 
[   ]py3-telegram-bot-pyc-22.3-r0.apk2025-08-13 19:49 781K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-04-30 01:07 8.9K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-04-30 01:07 13K 
[   ]py3-telemetrix-1.20-r3.apk2024-08-07 01:50 21K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-08-07 01:50 31K 
[   ]py3-teletype-1.3.4-r3.apk2024-04-30 01:07 15K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-04-30 01:07 20K 
[   ]py3-testresources-2.0.1-r6.apk2024-07-10 03:13 17K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-07-10 03:13 16K 
[   ]py3-textual-3.2.0-r0.apk2025-05-15 20:20 596K 
[   ]py3-textual-pyc-3.2.0-r0.apk2025-05-15 20:20 1.2M 
[   ]py3-thefuzz-0.22.1-r1.apk2024-04-30 01:07 9.7K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-04-30 01:07 8.7K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-08-08 18:23 5.7K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-08-08 18:23 6.1K 
[   ]py3-tidalapi-0.8.4-r0.apk2025-07-16 12:26 50K 
[   ]py3-tidalapi-pyc-0.8.4-r0.apk2025-07-16 12:26 90K 
[   ]py3-timeago-1.0.16-r0.apk2024-05-07 08:33 23K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-05-07 08:33 2.6K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-05-07 08:33 27K 
[   ]py3-tls_parser-2.0.2-r0.apk2025-07-16 12:26 10K 
[   ]py3-tls_parser-pyc-2.0.2-r0.apk2025-07-16 12:26 17K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-08-07 01:50 178K 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-08-07 01:50 275K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-09-21 00:25 296K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-09-21 00:25 236K 
[   ]py3-transitions-0.9.2-r0.apk2024-09-20 00:04 97K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-09-20 00:04 129K 
[   ]py3-translationstring-1.4-r4.apk2024-08-07 01:50 8.9K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-08-07 01:50 8.5K 
[   ]py3-trivup-0.12.2-r2.apk2024-08-07 01:50 34K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-08-07 01:50 55K 
[   ]py3-truststore-0.10.1-r0.apk2025-02-17 12:15 17K 
[   ]py3-truststore-pyc-0.10.1-r0.apk2025-02-17 12:15 25K 
[   ]py3-twiggy-0.5.1-r4.apk2024-08-08 18:19 24K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-08-08 18:19 38K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-04-30 01:07 9.6K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-04-30 01:07 14K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-08-07 01:50 11K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-08-07 01:50 16K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-13 13:12 5.4K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-13 13:12 1.9K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-13 13:12 6.5K 
[   ]py3-uc-micro-py-1.0.2-r2.apk2025-10-18 13:06 6.3K 
[   ]py3-uc-micro-py-pyc-1.0.2-r2.apk2025-10-18 13:06 4.3K 
[   ]py3-unearth-0.18.0-r0.apk2025-10-15 12:16 40K 
[   ]py3-unearth-pyc-0.18.0-r0.apk2025-10-15 12:16 82K 
[   ]py3-unicrypto-0.0.11-r0.apk2025-08-20 01:26 59K 
[   ]py3-unicrypto-pyc-0.0.11-r0.apk2025-08-20 01:26 92K 
[   ]py3-unidns-0.0.3-r0.apk2025-09-26 04:02 14K 
[   ]py3-unidns-examples-0.0.3-r0.apk2025-09-26 04:02 2.3K 
[   ]py3-unidns-pyc-0.0.3-r0.apk2025-09-26 04:02 24K 
[   ]py3-uptime-3.0.1-r9.apk2024-08-07 01:50 9.3K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-08-07 01:50 8.4K 
[   ]py3-urlobject-2.4.3-r9.apk2024-08-30 21:44 14K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-08-30 21:44 24K 
[   ]py3-us-3.2.0-r0.apk2024-09-24 21:56 14K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-09-24 21:56 15K 
[   ]py3-utc-0.0.3-r9.apk2024-08-08 18:19 3.2K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-08-08 18:19 2.5K 
[   ]py3-vatnumber-1.2-r9.apk2024-08-07 15:51 18K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-08-07 15:51 8.4K 
[   ]py3-vdf-3.4-r2.apk2025-10-16 22:08 11K 
[   ]py3-vdf-pyc-3.4-r2.apk2025-10-16 22:08 16K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 21:14 14K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 21:14 12K 
[   ]py3-virtualenvwrapper-6.1.1-r0.apk2025-09-01 00:50 21K 
[   ]py3-virtualenvwrapper-pyc-6.1.1-r0.apk2025-09-01 00:50 12K 
[   ]py3-visitor-0.1.3-r7.apk2024-08-07 01:50 4.4K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-08-07 01:50 2.4K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-04-30 01:07 40K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-04-30 01:07 79K 
[   ]py3-wbdata-1.0.0-r1.apk2024-04-30 01:07 18K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-04-30 01:07 19K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-04-30 01:07 7.3K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-04-30 01:07 13K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 06:50 22K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 06:50 11K 
[   ]py3-wifi-0.3.8-r7.apk2024-08-08 18:19 13K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-08-08 18:19 13K 
[   ]py3-wikipedia-1.4.0-r0.apk2025-09-26 04:02 12K 
[   ]py3-wikipedia-doc-1.4.0-r0.apk2025-09-26 04:02 3.9K 
[   ]py3-wikipedia-pyc-1.4.0-r0.apk2025-09-26 04:02 16K 
[   ]py3-winacl-0.1.9-r1.apk2025-05-29 12:38 82K 
[   ]py3-winacl-pyc-0.1.9-r1.apk2025-05-29 12:38 131K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-07-11 05:04 16K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-07-11 05:04 28K 
[   ]py3-wstools-0.4.10-r7.apk2024-08-07 01:50 53K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-08-07 01:50 110K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-24 17:28 12K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-24 17:28 24K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-09 15:14 11K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-09 15:14 6.8K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 11:30 33K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 13:43 312K 
[   ]py3-xlwt-1.3.0-r10.apk2025-05-15 20:20 93K 
[   ]py3-xlwt-pyc-1.3.0-r10.apk2025-05-15 20:20 165K 
[   ]py3-xsdata-25.7-r0.apk2025-07-07 20:49 189K 
[   ]py3-xsdata-pyc-25.7-r0.apk2025-07-07 20:49 392K 
[   ]py3-yapsy-1.12.2-r7.apk2024-04-30 01:07 32K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-04-30 01:07 47K 
[   ]py3-yara-4.5.4-r0.apk2025-08-13 19:49 18K 
[   ]py3-youtube-search-1.6.6-r5.apk2025-05-15 20:20 78K 
[   ]py3-youtube-search-pyc-1.6.6-r5.apk2025-05-15 20:20 95K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 10:08 51K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 10:08 68K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-07-23 01:14 45K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-07-23 01:14 29K 
[   ]py3-zope-configuration-5.0.1-r2.apk2024-04-30 01:07 39K 
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-04-30 01:07 48K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-09-02 20:39 15K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-09-02 20:39 7.7K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-08-07 01:50 45K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-08-07 01:50 61K 
[   ]pyinfra-3.3.1-r0.apk2025-07-16 12:26 192K 
[   ]pyinfra-pyc-3.3.1-r0.apk2025-07-16 12:26 361K 
[   ]pympress-1.8.5-r1.apk2024-04-30 01:07 181K 
[   ]pympress-doc-1.8.5-r1.apk2024-04-30 01:07 348K 
[   ]pympress-lang-1.8.5-r1.apk2024-04-30 01:07 56K 
[   ]pympress-pyc-1.8.5-r1.apk2024-04-30 01:07 182K 
[   ]pyonji-0.1.0-r10.apk2025-10-15 12:16 2.8M 
[   ]pypykatz-0.6.11-r1.apk2025-05-29 12:38 315K 
[   ]pypykatz-pyc-0.6.11-r1.apk2025-05-29 12:38 721K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-17 19:57 871K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-17 19:57 112K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-17 19:57 810K 
[   ]qadwaitadecorations-0.1.7-r1.apk2025-10-05 13:07 48K 
[   ]qbittorrent-cli-2.2.0-r4.apk2025-10-15 12:16 5.5M 
[   ]qdjango-0.6.2-r1.apk2024-06-22 10:59 103K 
[   ]qdjango-dev-0.6.2-r1.apk2024-06-22 10:59 14K 
[   ]qflipper-1.3.3-r1.apk2024-10-02 18:19 486K 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-02 18:19 1.1M 
[   ]qml-box2d-0_git20180406-r0.apk2021-07-27 08:12 144K 
[   ]qoi-0.0.0_git20230312-r0.apk2023-03-17 06:21 1.2K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2023-03-17 06:21 6.6K 
[   ]qoiconv-0.0.0_git20230312-r0.apk2023-03-17 06:21 28K 
[   ]qownnotes-23.6.6-r0.apk2023-06-26 20:12 2.4M 
[   ]qownnotes-lang-23.6.6-r0.apk2023-06-26 20:12 4.4M 
[   ]qperf-0.4.11-r2.apk2025-05-15 20:20 36K 
[   ]qperf-doc-0.4.11-r2.apk2025-05-15 20:20 5.3K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-06-22 10:59 173K 
[   ]qspectrumanalyzer-2.2.0-r5.apk2024-08-07 01:50 54K 
[   ]qspectrumanalyzer-pyc-2.2.0-r5.apk2024-08-07 01:50 62K 
[   ]qsstv-9.5.8-r2.apk2023-03-17 19:11 922K 
[   ]qstardict-2.0.2-r1.apk2024-11-25 09:47 456K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-25 09:47 10K 
[   ]qsynth-1.0.2-r0.apk2024-10-03 21:55 416K 
[   ]qsynth-doc-1.0.2-r0.apk2024-10-03 21:55 4.1K 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2023-05-01 21:45 13K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2023-05-01 21:45 3.7K 
[   ]qt5ct-1.8-r0.apk2024-07-23 01:14 224K 
[   ]qt5ct-dev-1.8-r0.apk2024-07-23 01:14 1.2K 
[   ]qt6ct-0.11-r0.apk2025-10-15 12:16 188K 
[   ]qtile-0.33.0-r0.apk2025-09-26 04:02 469K 
[   ]qtile-pyc-0.33.0-r0.apk2025-09-26 04:02 858K 
[   ]qtmir-0.7.2_git20250407-r3.apk2025-09-29 10:58 515K 
[   ]qtmir-dev-0.7.2_git20250407-r3.apk2025-09-29 10:58 6.4K 
[   ]qtox-1.17.6-r6.apk2024-01-20 17:31 5.1M 
[   ]qtpass-1.4.0-r0.apk2023-12-14 16:55 434K 
[   ]qtpass-doc-1.4.0-r0.apk2023-12-14 16:55 1.9K 
[   ]quakespasm-0.96.3-r0.apk2024-08-07 01:50 503K 
[   ]queercat-1.0.0-r0.apk2023-08-19 19:23 7.2K 
[   ]quodlibet-4.7.1-r0.apk2025-09-26 04:02 1.0M 
[   ]quodlibet-bash-completion-4.7.1-r0.apk2025-09-26 04:02 4.2K 
[   ]quodlibet-doc-4.7.1-r0.apk2025-09-26 04:02 8.4K 
[   ]quodlibet-lang-4.7.1-r0.apk2025-09-26 04:02 1.4M 
[   ]quodlibet-pyc-4.7.1-r0.apk2025-09-26 04:02 1.8M 
[   ]quodlibet-zsh-completion-4.7.1-r0.apk2025-09-26 04:02 2.5K 
[   ]ra-multiplex-0.2.5-r0.apk2025-08-12 16:15 1.0M 
[   ]ra-multiplex-doc-0.2.5-r0.apk2025-08-12 16:15 2.2K 
[   ]raku-clifford-7.0.1_git20250228-r1.apk2025-08-29 10:17 691K 
[   ]raku-clifford-doc-7.0.1_git20250228-r1.apk2025-08-29 10:17 2.7K 
[   ]raku-dbiish-0.6.7-r1.apk2025-08-29 10:18 1.9M 
[   ]raku-dbiish-doc-0.6.7-r1.apk2025-08-29 10:18 13K 
[   ]raku-distribution-builder-makefromjson-0.6-r1.apk2025-08-29 10:18 41K 
[   ]raku-distribution-builder-makefromjson-doc-0.6-r1.apk2025-08-29 10:18 2.1K 
[   ]raku-file-temp-0.0.12-r1.apk2025-08-29 10:18 44K 
[   ]raku-file-temp-doc-0.0.12-r1.apk2025-08-29 10:18 2.6K 
[   ]raku-functionalparsers-0.1.10-r1.apk2025-08-29 10:18 1.8M 
[   ]raku-functionalparsers-doc-0.1.10-r1.apk2025-08-29 10:18 8.5K 
[   ]raku-html-escape-0.0.1-r1.apk2025-08-29 10:18 12K 
[   ]raku-html-escape-doc-0.0.1-r1.apk2025-08-29 10:18 2.3K 
[   ]raku-monad-0.1.2-r1.apk2025-08-29 10:18 3.6M 
[   ]raku-monad-doc-0.1.2-r1.apk2025-08-29 10:18 3.1K 
[   ]raku-nativehelpers-blob-0.1.12-r1.apk2025-08-29 10:18 197K 
[   ]raku-nativehelpers-blob-doc-0.1.12-r1.apk2025-08-29 10:18 3.1K 
[   ]raku-nativelibs-0.0.9-r1.apk2025-08-29 10:18 86K 
[   ]raku-nativelibs-doc-0.0.9-r1.apk2025-08-29 10:18 2.4K 
[   ]raku-protocol-mqtt-0.0.4-r1.apk2025-08-29 10:18 224K 
[   ]raku-protocol-mqtt-doc-0.0.4-r1.apk2025-08-29 10:18 2.1K 
[   ]raku-system-query-0.1.6-r1.apk2025-08-29 10:18 16K 
[   ]raku-system-query-doc-0.1.6-r1.apk2025-08-29 10:18 2.3K 
[   ]raku-terminal-quickcharts-0.0.2-r1.apk2025-08-29 10:18 217K 
[   ]raku-terminal-quickcharts-doc-0.0.2-r1.apk2025-08-29 10:18 2.8K 
[   ]raku-text-markdown-1.1.1_git20221121-r1.apk2025-08-29 10:18 105K 
[   ]raku-text-markdown-doc-1.1.1_git20221121-r1.apk2025-08-29 10:18 3.2K 
[   ]raku-time-crontab-1.0.0-r1.apk2025-08-29 10:18 123K 
[   ]raku-time-crontab-doc-1.0.0-r1.apk2025-08-29 10:18 3.1K 
[   ]randrctl-1.10.0-r0.apk2024-11-17 22:16 28K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-17 22:16 31K 
[   ]rankwidth-0.9-r3.apk2023-08-19 19:23 5.1K 
[   ]rankwidth-dev-0.9-r3.apk2023-08-19 19:23 2.7K 
[   ]rankwidth-doc-0.9-r3.apk2023-08-19 19:23 2.8K 
[   ]rankwidth-libs-0.9-r3.apk2023-08-19 19:23 4.7K 
[   ]rankwidth-static-0.9-r3.apk2023-08-19 19:23 6.0K 
[   ]raspberrypi-usbboot-20250227-r0.apk2025-06-09 10:00 886K 
[   ]rattler-build-0.18.0-r0.apk2024-06-19 21:46 5.7M 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-06-19 21:46 3.4K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-06-19 21:46 6.5K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-06-19 21:46 4.5K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-06-19 21:46 5.2K 
[   ]rauc-1.10.1-r0.apk2023-08-19 19:23 142K 
[   ]rauc-doc-1.10.1-r0.apk2023-08-19 19:23 3.9K 
[   ]rauc-service-1.10.1-r0.apk2023-08-19 19:23 3.5K 
[   ]razercfg-0.42-r7.apk2024-10-03 14:27 79K 
[   ]razercfg-gui-0.42-r7.apk2024-10-03 14:27 19K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-03 14:27 1.5K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-03 14:27 35K 
[   ]rclone-browser-1.8.0-r1.apk2025-04-09 01:46 336K 
[   ]rdedup-3.2.1-r5.apk2024-09-29 05:19 806K 
[   ]rdrview-0.1.3-r0.apk2025-02-22 20:05 28K 
[   ]rdrview-doc-0.1.3-r0.apk2025-02-22 20:05 3.4K 
[   ]reaction-2.2.1-r0.apk2025-09-28 04:37 1.5M 
[   ]reaction-openrc-2.2.1-r0.apk2025-09-28 04:37 1.6K 
[   ]reaction-tools-2.2.1-r0.apk2025-09-28 04:37 4.7K 
[   ]readosm-1.1.0-r3.apk2025-05-15 20:20 14K 
[   ]readosm-dev-1.1.0-r3.apk2025-05-15 20:20 32K 
[   ]reap-0.2-r0.apk2025-09-26 04:02 4.6K 
[   ]reap-doc-0.2-r0.apk2025-09-26 04:02 2.4K 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2022-10-25 13:04 381K 
[   ]recoll-1.37.5-r1.apk2024-04-30 01:07 2.8M 
[   ]recoll-dev-1.37.5-r1.apk2024-04-30 01:07 53K 
[   ]recoll-doc-1.37.5-r1.apk2024-04-30 01:07 21K 
[   ]redhat-fonts-4.1.0-r1.apk2025-08-10 16:56 809K 
[   ]refine-0.6.0-r0.apk2025-09-28 04:37 34K 
[   ]refine-lang-0.6.0-r0.apk2025-09-28 04:37 33K 
[   ]regal-0.33.1-r4.apk2025-10-15 12:16 12M 
[   ]regal-bash-completion-0.33.1-r4.apk2025-10-15 12:16 5.8K 
[   ]regal-fish-completion-0.33.1-r4.apk2025-10-15 12:16 4.1K 
[   ]regal-zsh-completion-0.33.1-r4.apk2025-10-15 12:16 3.8K 
[   ]regclient-0.9.2-r1.apk2025-10-15 12:16 13M 
[   ]remake-1.5-r1.apk2022-10-25 13:04 127K 
[   ]remake-dev-1.5-r1.apk2022-10-25 13:04 2.7K 
[   ]remake-doc-1.5-r1.apk2022-10-25 13:04 201K 
[   ]remake-make-1.5-r1.apk2022-10-25 13:04 1.3K 
[   ]remco-0.12.5-r4.apk2025-10-15 12:16 9.5M 
[   ]remco-doc-0.12.5-r4.apk2025-10-15 12:16 2.0K 
[   ]remco-openrc-0.12.5-r4.apk2025-10-15 12:16 1.4K 
[   ]remind-caldav-0.8.0-r4.apk2024-08-30 21:44 17K 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-08-30 21:44 5.9K 
[   ]repgrep-0.15.0-r0.apk2024-01-04 19:37 1.2M 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-01-04 19:37 1.4K 
[   ]repgrep-doc-0.15.0-r0.apk2024-01-04 19:37 6.2K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-01-04 19:37 3.9K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-01-04 19:37 1.4K 
[   ]repo-2.53-r0.apk2025-03-21 02:23 17K 
[   ]repo-doc-2.53-r0.apk2025-03-21 02:23 38K 
[   ]repowerd-2023.07-r3.apk2025-02-17 12:15 873K 
[   ]repowerd-openrc-2023.07-r3.apk2025-02-17 12:15 1.4K 
[   ]reprotest-0.7.30-r1.apk2025-08-10 16:56 80K 
[   ]reprotest-pyc-0.7.30-r1.apk2025-08-10 16:56 103K 
[   ]resources-1.8.0-r1.apk2025-05-10 14:49 2.4M 
[   ]resources-lang-1.8.0-r1.apk2025-05-10 14:49 125K 
[   ]responder-3.1.7.0-r0.apk2025-10-15 12:16 747K 
[   ]restart-services-0.17.0-r0.apk2021-12-22 12:37 12K 
[   ]restart-services-doc-0.17.0-r0.apk2021-12-22 12:37 5.9K 
[   ]restic.mk-0.4.0-r0.apk2023-05-21 12:09 2.7K 
[   ]restinio-0.6.19-r1.apk2024-12-14 22:22 1.0K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 22:22 268K 
[   ]rgxg-0.1.2-r2.apk2023-05-21 12:09 13K 
[   ]rgxg-dev-0.1.2-r2.apk2023-05-21 12:09 3.3K 
[   ]rgxg-doc-0.1.2-r2.apk2023-05-21 12:09 12K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-04-30 01:07 43K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-04-30 01:07 73K 
[   ]rinetd-0.73-r0.apk2023-12-14 16:55 14K 
[   ]rinetd-doc-0.73-r0.apk2023-12-14 16:55 16K 
[   ]rinetd-openrc-0.73-r0.apk2023-12-14 16:55 1.5K 
[   ]rio-0.2.32-r0.apk2025-10-18 15:00 10M 
[   ]rio-doc-0.2.32-r0.apk2025-10-18 15:00 2.0K 
[   ]rio-terminfo-0.2.32-r0.apk2025-10-18 15:00 3.2K 
[   ]river-shifttags-0.2.1-r1.apk2025-05-15 20:20 6.0K 
[   ]river-shifttags-doc-0.2.1-r1.apk2025-05-15 20:20 2.1K 
[   ]rizin-0.8.1-r0.apk2025-07-01 13:33 2.7M 
[   ]rizin-cutter-2.4.1-r0.apk2025-07-01 13:33 2.5M 
[   ]rizin-cutter-dev-2.4.1-r0.apk2025-07-01 13:33 103K 
[   ]rizin-dev-0.8.1-r0.apk2025-07-01 13:33 322K 
[   ]rizin-doc-0.8.1-r0.apk2025-07-01 13:33 19K 
[   ]rizin-libs-0.8.1-r0.apk2025-07-01 13:33 5.4M 
[   ]rkdeveloptool-1.1.0-r1.apk2024-10-03 14:27 59K 
[   ]rkdeveloptool-doc-1.1.0-r1.apk2024-10-03 14:27 2.7K 
[   ]rke-1.4.3-r19.apk2025-10-15 12:16 19M 
[   ]rke-doc-1.4.3-r19.apk2025-10-15 12:16 2.7K 
[   ]rmlint-2.10.2-r2.apk2024-09-30 02:20 139K 
[   ]rmlint-doc-2.10.2-r2.apk2024-09-30 02:20 18K 
[   ]rmlint-lang-2.10.2-r2.apk2024-09-30 02:20 19K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-09-30 02:20 96K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-09-30 02:20 124K 
[   ]rocm-cmake-6.4.3-r0.apk2025-08-18 11:32 28K 
[   ]rocm-cmake-doc-6.4.3-r0.apk2025-08-18 11:32 2.2K 
[   ]rocm-core-6.4.3-r0.apk2025-08-18 11:32 7.2K 
[   ]rocm-core-dev-6.4.3-r0.apk2025-08-18 11:32 6.3K 
[   ]rocm-core-doc-6.4.3-r0.apk2025-08-18 11:32 2.2K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-09-30 01:50 4.9K 
[   ]rofi-pass-2.0.2-r2.apk2025-08-01 12:02 8.7K 
[   ]rofi-pass-doc-2.0.2-r2.apk2025-08-01 12:02 4.9K 
[   ]roll-2.6.1-r1.apk2025-10-15 12:16 12K 
[   ]roll-bash-completion-2.6.1-r1.apk2025-10-15 12:16 1.6K 
[   ]roll-doc-2.6.1-r1.apk2025-10-15 12:16 11K 
[   ]rosdep-0.19.0-r6.apk2024-09-02 20:34 66K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-09-02 20:34 119K 
[   ]rot8-1.0.0-r0.apk2025-07-28 22:28 789K 
[   ]rot8-doc-1.0.0-r0.apk2025-07-28 22:28 2.0K 
[   ]rpg-cli-1.2.0-r0.apk2025-08-01 12:02 608K 
[   ]rpi-imager-1.9.0-r1.apk2025-07-24 08:10 695K 
[   ]rpi-imager-doc-1.9.0-r1.apk2025-07-24 08:10 2.8K 
[   ]rss-email-0.5.1-r0.apk2025-08-10 20:15 2.2M 
[   ]rss-email-doc-0.5.1-r0.apk2025-08-10 20:15 6.1K 
[   ]rsstail-2.2-r0.apk2025-02-17 12:15 7.8K 
[   ]rsstail-doc-2.2-r0.apk2025-02-17 12:15 2.5K 
[   ]rt5-5.0.8-r0.apk2025-06-15 05:41 17M 
[   ]rt6-6.0.1-r0.apk2025-08-12 12:35 12M 
[   ]rtl-power-fftw-20200601-r4.apk2024-04-30 01:07 61K 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-04-30 01:07 7.9K 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2023-07-26 09:13 4.0M 
[   ]rtmidi-6.0.0-r0.apk2023-08-19 19:23 30K 
[   ]rtmidi-dev-6.0.0-r0.apk2023-08-19 19:23 14K 
[   ]rtptools-1.22-r2.apk2022-10-25 13:04 26K 
[   ]rtptools-doc-1.22-r2.apk2022-10-25 13:04 12K 
[   ]rtw89-src-7_p20230725-r0.apk2023-07-26 09:13 759K 
[   ]ruby-ansi-1.5.0-r0.apk2025-07-07 05:43 26K 
[   ]ruby-appraisal-2.5.0-r0.apk2025-05-25 23:52 11K 
[   ]ruby-appraisal-doc-2.5.0-r0.apk2025-05-25 23:52 2.1K 
[   ]ruby-benchmark-ips-2.14.0-r0.apk2025-05-25 23:54 14K 
[   ]ruby-benchmark-ips-doc-2.14.0-r0.apk2025-05-25 23:54 2.0K 
[   ]ruby-build-20250925-r0.apk2025-09-28 15:59 93K 
[   ]ruby-build-doc-20250925-r0.apk2025-09-28 15:59 4.5K 
[   ]ruby-build-runtime-20250925-r0.apk2025-09-28 15:59 1.1K 
[   ]ruby-byebug-12.0.0-r0.apk2025-10-21 04:13 126K 
[   ]ruby-coderay-1.1.3-r0.apk2025-05-25 23:57 89K 
[   ]ruby-coderay-doc-1.1.3-r0.apk2025-05-25 23:57 2.0K 
[   ]ruby-crack-1.0.1-r0.apk2025-10-21 04:15 2.1K 
[   ]ruby-crack-doc-1.0.1-r0.apk2025-10-21 04:15 2.0K 
[   ]ruby-dry-inflector-1.2.0-r0.apk2025-10-21 04:16 7.9K 
[   ]ruby-dry-inflector-doc-1.2.0-r0.apk2025-10-21 04:16 2.0K 
[   ]ruby-event_emitter-0.2.6-r0.apk2025-05-26 00:00 2.8K 
[   ]ruby-event_emitter-doc-0.2.6-r0.apk2025-05-26 00:00 2.0K 
[   ]ruby-facter-4.10.0-r0.apk2025-07-28 02:05 218K 
[   ]ruby-fast_gettext-3.1.0-r0.apk2025-05-25 23:58 22K 
[   ]ruby-hashdiff-1.2.1-r0.apk2025-10-21 04:18 8.7K 
[   ]ruby-hashdiff-doc-1.2.1-r0.apk2025-10-21 04:18 2.0K 
[   ]ruby-hoe-4.3.0-r0.apk2025-10-21 04:19 27K 
[   ]ruby-mail-2.8.1-r0.apk2025-09-26 04:02 394K 
[   ]ruby-minitest-autotest-1.1.1-r0.apk2025-07-07 05:43 14K 
[   ]ruby-minitest-focus-1.3.1-r0.apk2025-07-07 05:43 4.9K 
[   ]ruby-minitest-power_assert-0.3.1-r0.apk2025-07-07 05:43 2.0K 
[   ]ruby-minitest-proveit-1.0.0-r0.apk2025-07-07 05:43 4.5K 
[   ]ruby-minitest-reporters-1.7.1-r0.apk2025-07-07 05:43 20K 
[   ]ruby-minitest-server-1.0.8-r0.apk2025-07-07 05:43 5.1K 
[   ]ruby-path_expander-1.1.3-r0.apk2025-07-07 05:43 6.2K 
[   ]ruby-ruby-progressbar-1.13.0-r0.apk2025-07-07 05:43 25K 
[   ]ruff-lsp-0.0.62-r0.apk2025-03-10 14:12 21K 
[   ]ruff-lsp-pyc-0.0.62-r0.apk2025-03-10 14:12 35K 
[   ]runst-0.1.7-r0.apk2024-03-27 03:58 1.6M 
[   ]runst-doc-0.1.7-r0.apk2024-03-27 03:58 7.5K 
[   ]ruri-3.9.2-r0.apk2025-09-26 04:02 128K 
[   ]ruri-doc-3.9.2-r0.apk2025-09-26 04:02 2.0K 
[   ]rust-script-0.36.0-r0.apk2025-09-26 04:02 926K 
[   ]rustic-0.9.3-r0.apk2024-10-18 07:36 5.9M 
[   ]rustic-bash-completion-0.9.3-r0.apk2024-10-18 07:36 8.4K 
[   ]rustic-fish-completion-0.9.3-r0.apk2024-10-18 07:36 16K 
[   ]rustic-zsh-completion-0.9.3-r0.apk2024-10-18 07:36 13K 
[   ]rustical-0.9.10-r0.apk2025-10-06 04:06 4.4M 
[   ]rustscan-2.3.0-r0.apk2024-10-12 21:55 1.3M 
[   ]rvlprog-0.91-r2.apk2024-07-11 14:44 26K 
[   ]ry-0.5.2-r1.apk2022-10-25 13:04 4.4K 
[   ]ry-bash-completion-0.5.2-r1.apk2022-10-25 13:04 1.7K 
[   ]ry-zsh-completion-0.5.2-r1.apk2022-10-25 13:04 2.0K 
[   ]rygel-45.0-r0.apk2025-09-26 04:02 753K 
[   ]rygel-dev-45.0-r0.apk2025-09-26 04:02 42K 
[   ]rygel-doc-45.0-r0.apk2025-09-26 04:02 9.4K 
[   ]rygel-lang-45.0-r0.apk2025-09-26 04:02 583K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-06-02 07:03 57K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-06-02 07:03 8.3K 
[   ]s-postgray-0.8.3-r0.apk2024-06-23 02:52 46K 
[   ]s-postgray-doc-0.8.3-r0.apk2024-06-23 02:52 9.3K 
[   ]s5cmd-2.3.0-r7.apk2025-10-15 12:16 5.0M 
[   ]saait-0.8-r0.apk2024-06-27 19:56 6.9K 
[   ]saait-doc-0.8-r0.apk2024-06-27 19:56 12K 
[   ]sacc-1.07-r1.apk2025-09-26 04:02 15K 
[   ]sacc-doc-1.07-r1.apk2025-09-26 04:02 2.6K 
[   ]sandbar-0.1-r1.apk2025-05-15 20:20 15K 
[   ]sane-backend-hpaio-3.25.6-r0.apk2025-10-04 02:34 84K 
[   ]satellite-1.0.0-r32.apk2025-10-15 12:16 2.2M 
[   ]satellite-doc-1.0.0-r32.apk2025-10-15 12:16 2.8K 
[   ]satellite-openrc-1.0.0-r32.apk2025-10-15 12:16 1.6K 
[   ]sauerbraten-2020.12.29-r4.apk2025-02-20 18:45 934M 
[   ]sbase-0_git20210730-r3.apk2024-09-29 15:31 121K 
[   ]sbase-doc-0_git20210730-r3.apk2024-09-29 15:31 58K 
[   ]sblg-0.5.11-r0.apk2023-02-06 19:25 35K 
[   ]sblg-doc-0.5.11-r0.apk2023-02-06 19:25 1.3M 
[   ]sblim-sfcc-2.2.8-r3.apk2024-09-25 14:50 54K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-09-25 14:50 22K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-09-25 14:50 34K 
[   ]sblim-wbemcli-1.6.3-r1.apk2022-10-25 13:04 99K 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2022-10-25 13:04 4.3K 
[   ]sc-controller-0.5.3-r0.apk2025-09-28 04:37 1.3M 
[   ]sc-controller-pyc-0.5.3-r0.apk2025-09-28 04:37 814K 
[   ]scalingo-1.30.0-r14.apk2025-10-15 12:16 5.3M 
[   ]scap-workbench-1.2.1-r3.apk2024-10-17 13:56 235K 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-17 13:56 1.6M 
[   ]schismtracker-20231029-r0.apk2023-12-14 16:55 395K 
[   ]schismtracker-doc-20231029-r0.apk2023-12-14 16:55 6.0K 
[   ]scooper-1.3-r1.apk2023-05-21 12:09 506K 
[   ]scooper-doc-1.3-r1.apk2023-05-21 12:09 2.3K 
[   ]screenkey-1.5-r7.apk2025-07-23 02:57 76K 
[   ]screenkey-doc-1.5-r7.apk2025-07-23 02:57 11K 
[   ]screenkey-pyc-1.5-r7.apk2025-07-23 02:57 73K 
[   ]sct-2018.12.18-r1.apk2021-10-28 20:51 3.3K 
[   ]sdl3_image-3.2.4-r0.apk2025-03-24 22:29 78K 
[   ]sdl3_image-dev-3.2.4-r0.apk2025-03-24 22:29 12K 
[   ]sdl3_image-doc-3.2.4-r0.apk2025-03-24 22:29 1.8K 
[   ]sdparm-1.12-r1.apk2022-10-25 13:04 135K 
[   ]sdparm-doc-1.12-r1.apk2022-10-25 13:04 19K 
[   ]secsipidx-1.3.2-r16.apk2025-10-15 12:16 2.7M 
[   ]secsipidx-dev-1.3.2-r16.apk2025-10-15 12:16 5.5M 
[   ]secsipidx-libs-1.3.2-r16.apk2025-10-15 12:16 2.4M 
[   ]sedutil-1.15.1-r1.apk2022-10-25 13:04 194K 
[   ]sedutil-doc-1.15.1-r1.apk2022-10-25 13:04 2.8K 
[   ]seed7-05.20240322-r0.apk2024-03-25 12:20 10M 
[   ]seed7-doc-05.20240322-r0.apk2024-03-25 12:20 1.6M 
[   ]seed7-nano-05.20240322-r0.apk2024-03-25 12:20 2.2K 
[   ]seed7-vim-05.20240322-r0.apk2024-03-25 12:20 3.8K 
[   ]sentinel-minipot-2.3.0-r1.apk2023-12-14 16:55 41K 
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2023-12-14 16:55 2.3K 
[   ]sentinel-proxy-2.1.0-r1.apk2025-06-13 14:29 38K 
[   ]sentinel-proxy-dev-2.1.0-r1.apk2025-06-13 14:29 4.8K 
[   ]sentinel-proxy-openrc-2.1.0-r1.apk2025-06-13 14:29 2.0K 
[   ]sentrypeer-4.0.4-r0.apk2025-03-19 18:48 1.4M 
[   ]sentrypeer-doc-4.0.4-r0.apk2025-03-19 18:48 3.0K 
[   ]serialdv-1.1.4-r1.apk2025-02-08 23:43 6.2K 
[   ]serialdv-dev-1.1.4-r1.apk2025-02-08 23:43 4.9K 
[   ]serialdv-libs-1.1.4-r1.apk2025-02-08 23:43 62K 
[   ]serie-0.5.2-r0.apk2025-10-16 18:43 863K 
[   ]serie-doc-0.5.2-r0.apk2025-10-16 18:43 7.7K 
[   ]setroot-2.0.2-r1.apk2022-10-25 13:04 11K 
[   ]setroot-doc-2.0.2-r1.apk2022-10-25 13:04 4.2K 
[   ]sflowtool-6.02-r0.apk2023-12-14 16:55 44K 
[   ]sflowtool-doc-6.02-r0.apk2023-12-14 16:55 9.1K 
[   ]sfwbar-1.0_beta161-r0.apk2025-07-31 13:52 274K 
[   ]sfwbar-doc-1.0_beta161-r0.apk2025-07-31 13:52 26K 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-06-10 11:55 2.9M 
[   ]shadowsocks-libev-3.3.5-r4.apk2024-04-30 01:07 226K 
[   ]shadowsocks-libev-dev-3.3.5-r4.apk2024-04-30 01:07 3.2K 
[   ]shadowsocks-libev-doc-3.3.5-r4.apk2024-04-30 01:07 28K 
[   ]shc-4.0.3-r2.apk2024-09-29 15:31 19K 
[   ]shellinabox-2.21-r3.apk2023-05-21 12:09 119K 
[   ]shellinabox-doc-2.21-r3.apk2023-05-21 12:09 19K 
[   ]shellinabox-openrc-2.21-r3.apk2023-05-21 12:09 3.3K 
[   ]shfm-0.4.2-r1.apk2022-10-25 13:04 3.8K 
[   ]shfm-doc-0.4.2-r1.apk2022-10-25 13:04 5.9K 
[   ]shine-3.1.1-r0.apk2021-07-27 08:12 67K 
[   ]shipments-0.3.0-r0.apk2022-10-07 06:50 23K 
[   ]shntool-3.0.10-r5.apk2025-02-25 05:12 60K 
[   ]shntool-doc-3.0.10-r5.apk2025-02-25 05:12 10K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2023-08-21 11:43 1.5K 
[   ]sigma-0.23.1-r1.apk2024-04-30 01:07 236K 
[   ]sigma-pyc-0.23.1-r1.apk2024-04-30 01:07 340K 
[   ]sigrok-cli-0.7.2-r0.apk2022-10-07 06:50 36K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2022-10-07 06:50 7.7K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2021-07-27 08:12 13K 
[   ]silc-client-1.1.11-r18.apk2025-07-01 13:33 875K 
[   ]silc-client-doc-1.1.11-r18.apk2025-07-01 13:33 82K 
[   ]simgear-2024.1.1-r0.apk2025-05-10 01:44 2.2M 
[   ]simgear-dev-2024.1.1-r0.apk2025-05-10 01:44 404K 
[   ]simh-3.11.1-r1.apk2022-10-25 13:04 2.7M 
[   ]simp1e-cursors-0_git20250312-r0.apk2025-03-13 12:32 446K 
[   ]simp1e-cursors-adw-0_git20250312-r0.apk2025-03-13 12:32 478K 
[   ]simp1e-cursors-adw-dark-0_git20250312-r0.apk2025-03-13 12:32 482K 
[   ]simp1e-cursors-adw-dark-left-0_git20250312-r0.apk2025-03-13 12:32 485K 
[   ]simp1e-cursors-adw-left-0_git20250312-r0.apk2025-03-13 12:32 483K 
[   ]simp1e-cursors-breeze-0_git20250312-r0.apk2025-03-13 12:32 504K 
[   ]simp1e-cursors-breeze-dark-0_git20250312-r0.apk2025-03-13 12:32 520K 
[   ]simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk2025-03-13 12:32 526K 
[   ]simp1e-cursors-breeze-left-0_git20250312-r0.apk2025-03-13 12:32 509K 
[   ]simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk2025-03-13 12:32 535K 
[   ]simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk2025-03-13 12:32 547K 
[   ]simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk2025-03-13 12:32 527K 
[   ]simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk2025-03-13 12:32 537K 
[   ]simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk2025-03-13 12:32 532K 
[   ]simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk2025-03-13 12:32 544K 
[   ]simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk2025-03-13 12:32 530K 
[   ]simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk2025-03-13 12:32 540K 
[   ]simp1e-cursors-dark-0_git20250312-r0.apk2025-03-13 12:32 484K 
[   ]simp1e-cursors-dark-left-0_git20250312-r0.apk2025-03-13 12:32 489K 
[   ]simp1e-cursors-doc-0_git20250312-r0.apk2025-03-13 12:32 14K 
[   ]simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk2025-03-13 12:32 525K 
[   ]simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk2025-03-13 12:32 537K 
[   ]simp1e-cursors-gruvbox-light-0_git20250312-r0.apk2025-03-13 12:32 517K 
[   ]simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk2025-03-13 12:32 526K 
[   ]simp1e-cursors-left-0_git20250312-r0.apk2025-03-13 12:32 448K 
[   ]simp1e-cursors-mix-dark-0_git20250312-r0.apk2025-03-13 12:32 486K 
[   ]simp1e-cursors-mix-dark-left-0_git20250312-r0.apk2025-03-13 12:32 492K 
[   ]simp1e-cursors-mix-light-0_git20250312-r0.apk2025-03-13 12:32 449K 
[   ]simp1e-cursors-mix-light-left-0_git20250312-r0.apk2025-03-13 12:32 451K 
[   ]simp1e-cursors-nord-dark-0_git20250312-r0.apk2025-03-13 12:32 535K 
[   ]simp1e-cursors-nord-dark-left-0_git20250312-r0.apk2025-03-13 12:32 548K 
[   ]simp1e-cursors-nord-light-0_git20250312-r0.apk2025-03-13 12:32 518K 
[   ]simp1e-cursors-nord-light-left-0_git20250312-r0.apk2025-03-13 12:32 524K 
[   ]simp1e-cursors-rose-pine-0_git20250312-r0.apk2025-03-13 12:32 533K 
[   ]simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk2025-03-13 12:32 538K 
[   ]simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk2025-03-13 12:32 550K 
[   ]simp1e-cursors-rose-pine-left-0_git20250312-r0.apk2025-03-13 12:32 545K 
[   ]simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk2025-03-13 12:32 538K 
[   ]simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk2025-03-13 12:32 550K 
[   ]simp1e-cursors-solarized-dark-0_git20250312-r0.apk2025-03-13 12:32 523K 
[   ]simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk2025-03-13 12:32 535K 
[   ]simp1e-cursors-solarized-light-0_git20250312-r0.apk2025-03-13 12:32 531K 
[   ]simp1e-cursors-solarized-light-left-0_git20250312-r0.apk2025-03-13 12:32 542K 
[   ]simp1e-cursors-tokyo-night-0_git20250312-r0.apk2025-03-13 12:32 530K 
[   ]simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk2025-03-13 12:32 541K 
[   ]simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk2025-03-13 12:32 520K 
[   ]simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk2025-03-13 12:32 527K 
[   ]simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk2025-03-13 12:32 534K 
[   ]simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk2025-03-13 12:32 545K 
[   ]simp1e-cursors-zenburn-0_git20250312-r0.apk2025-03-13 12:32 529K 
[   ]simp1e-cursors-zenburn-left-0_git20250312-r0.apk2025-03-13 12:32 540K 
[   ]simpleble-0.10.3-r0.apk2025-07-16 12:26 1.0K 
[   ]simpleble-dev-0.10.3-r0.apk2025-07-16 12:26 28K 
[   ]singular-4.4.1_p2-r0.apk2025-07-02 22:25 11M 
[   ]singular-dev-4.4.1_p2-r0.apk2025-07-02 22:25 359K 
[   ]singular-doc-4.4.1_p2-r0.apk2025-07-02 22:25 1.3M 
[   ]singular-emacs-4.4.1_p2-r0.apk2025-07-02 22:25 101K 
[   ]singular-static-4.4.1_p2-r0.apk2025-07-02 22:25 11M 
[   ]sipexer-1.2.0-r7.apk2025-10-15 12:16 2.7M 
[   ]sipgrep-2.2.0-r1.apk2024-09-25 14:50 25K 
[   ]skint-0.6.5-r0.apk2025-09-26 04:02 503K 
[   ]slidge-0.1.3-r0.apk2024-06-14 03:22 144K 
[   ]slidge-doc-0.1.3-r0.apk2024-06-14 03:22 4.3K 
[   ]slidge-matridge-0.1.0-r0.apk2024-06-14 03:22 29K 
[   ]slidge-matridge-openrc-0.1.0-r0.apk2024-06-14 03:22 1.3K 
[   ]slidge-matridge-pyc-0.1.0-r0.apk2024-06-14 03:22 38K 
[   ]slidge-openrc-0.1.3-r0.apk2024-06-14 03:22 2.1K 
[   ]slidge-pyc-0.1.3-r0.apk2024-06-14 03:22 285K 
[   ]sloccount-2.26-r3.apk2022-01-18 20:37 57K 
[   ]sloccount-doc-2.26-r3.apk2022-01-18 20:37 59K 
[   ]slurm-0.4.4-r0.apk2022-05-02 00:15 13K 
[   ]slurm-doc-0.4.4-r0.apk2022-05-02 00:15 2.3K 
[   ]smassh-3.1.6-r0.apk2024-11-21 02:57 72K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 02:57 70K 
[   ]smile-2.10.2-r0.apk2025-09-26 04:02 863K 
[   ]smile-lang-2.10.2-r0.apk2025-09-26 04:02 28K 
[   ]smplxmpp-0.9.3-r5.apk2025-10-15 12:16 147K 
[   ]smplxmpp-doc-0.9.3-r5.apk2025-10-15 12:16 25K 
[   ]snapper-0.13.0-r0.apk2025-09-26 04:02 1.0M 
[   ]snapper-bash-completion-0.13.0-r0.apk2025-09-26 04:02 3.1K 
[   ]snapper-dev-0.13.0-r0.apk2025-09-26 04:02 10K 
[   ]snapper-doc-0.13.0-r0.apk2025-09-26 04:02 25K 
[   ]snapper-lang-0.13.0-r0.apk2025-09-26 04:02 219K 
[   ]snapper-zsh-completion-0.13.0-r0.apk2025-09-26 04:02 3.3K 
[   ]snapraid-12.4-r0.apk2025-05-10 14:49 267K 
[   ]snapraid-doc-12.4-r0.apk2025-05-10 14:49 17K 
[   ]sndfile-tools-1.5-r1.apk2023-07-30 12:12 39K 
[   ]sndfile-tools-doc-1.5-r1.apk2023-07-30 12:12 361K 
[   ]snippets-ls-0.0.4_git20240617-r9.apk2025-10-15 12:16 1.3M 
[   ]snore-0.3.1-r0.apk2023-12-14 16:55 4.2K 
[   ]snore-doc-0.3.1-r0.apk2023-12-14 16:55 2.8K 
[   ]so-0.4.10-r0.apk2024-08-29 10:07 2.0M 
[   ]soapy-bladerf-0.4.2-r0.apk2025-01-01 02:42 48K 
[   ]soapy-hackrf-0.3.4-r2.apk2023-07-30 12:12 28K 
[   ]soapy-sdr-remote-0.5.2-r1.apk2023-07-30 12:12 200K 
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2023-07-30 12:12 2.1K 
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2023-07-30 12:12 1.5K 
[   ]solanum-6.0.0-r0.apk2025-07-20 00:34 251K 
[   ]solanum-lang-6.0.0-r0.apk2025-07-20 00:34 47K 
[   ]somebar-1.0.3-r0.apk2023-06-04 18:00 46K 
[   ]somebar-doc-1.0.3-r0.apk2023-06-04 18:00 2.2K 
[   ]sonicradio-0.8.4-r1.apk2025-10-15 12:16 3.2M 
[   ]sopwith-2.5.0-r0.apk2024-05-07 08:33 51K 
[   ]sopwith-doc-2.5.0-r0.apk2024-05-07 08:33 15K 
[   ]sos-0.8-r35.apk2025-10-15 12:16 2.7M 
[   ]soundconverter-4.1.1-r0.apk2025-07-29 13:19 164K 
[   ]soundconverter-doc-4.1.1-r0.apk2025-07-29 13:19 4.5K 
[   ]soundconverter-lang-4.1.1-r0.apk2025-07-29 13:19 107K 
[   ]soundconverter-pyc-4.1.1-r0.apk2025-07-29 13:19 76K 
[   ]spacectl-1.12.0-r5.apk2025-10-15 12:16 5.6M 
[   ]spacectl-bash-completion-1.12.0-r5.apk2025-10-15 12:16 1.8K 
[   ]spacectl-doc-1.12.0-r5.apk2025-10-15 12:16 2.0K 
[   ]spacectl-fish-completion-1.12.0-r5.apk2025-10-15 12:16 6.8K 
[   ]spacectl-zsh-completion-1.12.0-r5.apk2025-10-15 12:16 1.6K 
[   ]spacenavd-1.2-r0.apk2023-02-25 14:09 30K 
[   ]spacer-0.5.0-r0.apk2025-08-31 02:58 1.0M 
[   ]spacer-doc-0.5.0-r0.apk2025-08-31 02:58 2.8K 
[   ]spampd-2.62-r0.apk2025-02-25 23:14 38K 
[   ]spampd-openrc-2.62-r0.apk2025-02-25 23:14 1.7K 
[   ]speedcrunch-0.12-r3.apk2023-02-17 06:47 1.1M 
[   ]speedtest-5.2.5-r1.apk2023-09-04 12:07 253K 
[   ]speedtest-doc-5.2.5-r1.apk2023-09-04 12:07 18K 
[   ]speedtest-examples-5.2.5-r1.apk2023-09-04 12:07 13K 
[   ]speedtest-go-1.1.5-r19.apk2025-10-15 12:16 5.4M 
[   ]speedtest-go-doc-1.1.5-r19.apk2025-10-15 12:16 4.2K 
[   ]speedtest-go-openrc-1.1.5-r19.apk2025-10-15 12:16 1.5K 
[   ]spice-html5-0.3.0-r1.apk2021-09-13 19:46 438K 
[   ]spiped-1.6.2-r1.apk2024-09-29 15:31 70K 
[   ]spiritvnc-0.6.5-r0.apk2024-11-03 05:10 47K 
[   ]splitter-0.4.1-r1.apk2025-07-16 12:26 538K 
[   ]splitter-doc-0.4.1-r1.apk2025-07-16 12:26 3.6K 
[   ]spnavcfg-1.1-r0.apk2023-02-13 06:58 37K 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-05 07:31 45K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-05 07:31 187K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-05 07:31 680K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-05 07:31 4.4K 
[   ]spreadtrum_flash-1.20240815-r0.apk2025-05-10 01:44 34K 
[   ]spvm-errno-0.093-r1.apk2025-07-01 13:33 17K 
[   ]spvm-errno-doc-0.093-r1.apk2025-07-01 13:33 5.7K 
[   ]spvm-math-1.006-r1.apk2025-07-01 13:33 20K 
[   ]spvm-math-doc-1.006-r1.apk2025-07-01 13:33 6.7K 
[   ]spvm-mime-base64-1.003-r1.apk2025-07-01 13:33 14K 
[   ]spvm-mime-base64-doc-1.003-r1.apk2025-07-01 13:33 5.2K 
[   ]spvm-thread-0.003-r1.apk2025-07-01 13:33 11K 
[   ]spvm-thread-doc-0.003-r1.apk2025-07-01 13:33 5.7K 
[   ]sq-0.48.5-r9.apk2025-10-15 12:16 11M 
[   ]sq-bash-completion-0.48.5-r9.apk2025-10-15 12:16 4.8K 
[   ]sq-doc-0.48.5-r9.apk2025-10-15 12:16 5.6K 
[   ]sq-fish-completion-0.48.5-r9.apk2025-10-15 12:16 4.0K 
[   ]sq-zsh-completion-0.48.5-r9.apk2025-10-15 12:16 3.8K 
[   ]sqlar-0_git20180107-r1.apk2022-10-25 13:04 10K 
[   ]sqlar-doc-0_git20180107-r1.apk2022-10-25 13:04 3.0K 
[   ]sqliteodbc-0.99991-r0.apk2023-12-23 08:03 88K 
[   ]sqlmap-1.9.10-r0.apk2025-10-15 12:16 6.7M 
[   ]sqlmap-pyc-1.9.10-r0.apk2025-10-15 12:16 1.2M 
[   ]sqm-scripts-1.6.0-r0.apk2023-08-22 20:47 20K 
[   ]sqruff-0.25.26-r0.apk2025-04-15 14:08 2.1M 
[   ]sqruff-doc-0.25.26-r0.apk2025-04-15 14:08 8.4K 
[   ]squeak-vm-4.10.2.2614-r2.apk2025-10-15 12:16 606K 
[   ]squeak-vm-doc-4.10.2.2614-r2.apk2025-10-15 12:16 12K 
[   ]srain-1.8.1-r0.apk2025-06-26 22:53 164K 
[   ]srain-lang-1.8.1-r0.apk2025-06-26 22:53 35K 
[   ]srb2-2.2.15-r1.apk2025-04-12 01:36 1.9M 
[   ]srb2-data-2.2.15-r1.apk2025-04-12 01:36 160M 
[   ]sregex-0.0.1-r1.apk2022-10-25 13:04 18K 
[   ]sregex-dev-0.0.1-r1.apk2022-10-25 13:04 22K 
[   ]ssdfs-tools-4.09-r0.apk2023-03-10 11:49 93K 
[   ]ssdfs-tools-dev-4.09-r0.apk2023-03-10 11:49 18K 
[   ]ssh-honeypot-0.1.1-r1.apk2023-05-21 12:09 8.6K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2023-05-21 12:09 1.8K 
[   ]ssh-studio-1.3.1-r0.apk2025-10-15 12:16 202K 
[   ]ssh-studio-lang-1.3.1-r0.apk2025-10-15 12:16 1.6K 
[   ]ssh-studio-pyc-1.3.1-r0.apk2025-10-15 12:16 106K 
[   ]ssh-tools-1.8-r0.apk2024-03-16 21:31 25K 
[   ]sshm-1.9.0-r0.apk2025-10-15 12:16 3.8M 
[   ]sshm-doc-1.9.0-r0.apk2025-10-15 12:16 2.0K 
[   ]sshs-4.7.2-r0.apk2025-04-23 22:53 717K 
[   ]sshsrv-1.0-r16.apk2025-10-15 12:16 1.0M 
[   ]sshuttle-1.1.2-r0.apk2024-06-12 01:20 62K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-06-12 01:20 8.2K 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-06-12 01:20 100K 
[   ]sssd-2.11.1-r1.apk2025-08-10 16:56 2.0M 
[   ]sssd-dev-2.11.1-r1.apk2025-08-10 16:56 15K 
[   ]sssd-openrc-2.11.1-r1.apk2025-08-10 16:56 1.4K 
[   ]ssss-0.5.7-r0.apk2021-10-29 06:49 11K 
[   ]ssss-doc-0.5.7-r0.apk2021-10-29 06:49 3.3K 
[   ]sstp-client-1.0.20-r2.apk2025-05-30 10:28 40K 
[   ]sstp-client-dev-1.0.20-r2.apk2025-05-30 10:28 5.9K 
[   ]sstp-client-doc-1.0.20-r2.apk2025-05-30 10:28 4.6K 
[   ]stacker-1.0.0-r9.apk2025-10-15 12:16 23M 
[   ]stacker-doc-1.0.0-r9.apk2025-10-15 12:16 15K 
[   ]stalwart-cli-0.13.3-r0.apk2025-09-26 04:02 2.6M 
[   ]stalwart-mail-0.13.3-r0.apk2025-09-26 04:02 16M 
[   ]stalwart-mail-openrc-0.13.3-r0.apk2025-09-26 04:02 1.8K 
[   ]stam-0.12.2-r0.apk2025-09-26 04:02 1.7M 
[   ]starfighter-2.4-r0.apk2023-08-19 19:23 48M 
[   ]starfighter-doc-2.4-r0.apk2023-08-19 19:23 21K 
[   ]startup-2.0.3-r5.apk2024-09-09 10:26 435K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-09-09 10:26 32K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-09-09 10:26 32K 
[   ]startup-dev-2.0.3-r5.apk2024-09-09 10:26 5.6K 
[   ]startup-doc-2.0.3-r5.apk2024-09-09 10:26 47K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-09-09 10:26 5.1K 
[   ]startup-lang-2.0.3-r5.apk2024-09-09 10:26 16K 
[   ]startup-tools-2.0.3-r5.apk2024-09-09 10:26 13K 
[   ]stayrtr-0.6.2-r8.apk2025-10-15 12:16 10M 
[   ]stayrtr-openrc-0.6.2-r8.apk2025-10-15 12:16 1.7K 
[   ]steghide-0.5.1.1-r0.apk2024-04-30 01:07 137K 
[   ]steghide-doc-0.5.1.1-r0.apk2024-04-30 01:07 13K 
[   ]stern-1.32.0-r8.apk2025-10-15 12:16 18M 
[   ]stern-bash-completion-1.32.0-r8.apk2025-10-15 12:16 5.6K 
[   ]stern-fish-completion-1.32.0-r8.apk2025-10-15 12:16 4.1K 
[   ]stern-zsh-completion-1.32.0-r8.apk2025-10-15 12:16 3.8K 
[   ]sthttpd-2.27.1-r2.apk2022-10-25 13:04 52K 
[   ]sthttpd-doc-2.27.1-r2.apk2022-10-25 13:04 18K 
[   ]sthttpd-openrc-2.27.1-r2.apk2022-10-25 13:04 1.7K 
[   ]stone-soup-0.32.1-r0.apk2024-09-26 07:38 33M 
[   ]stu-0.7.4-r0.apk2025-10-15 12:16 5.4M 
[   ]stu-doc-0.7.4-r0.apk2025-10-15 12:16 2.0K 
[   ]stw-0.3-r0.apk2023-03-07 23:50 6.7K 
[   ]stw-doc-0.3-r0.apk2023-03-07 23:50 2.3K 
[   ]sublime-music-0.12.0-r1.apk2024-04-30 01:07 189K 
[   ]sublime-music-pyc-0.12.0-r1.apk2024-04-30 01:07 302K 
[   ]subliminal-2.2.1-r1.apk2025-05-15 20:20 68K 
[   ]subliminal-pyc-2.2.1-r1.apk2025-05-15 20:20 134K 
[   ]sudo-ldap-1.9.17_p1-r0.apk2025-07-01 21:11 745K 
[   ]supersonik-0.1.0-r2.apk2025-04-15 14:08 1.0M 
[   ]surf-2.1-r3.apk2024-05-12 00:19 21K 
[   ]surf-doc-2.1-r3.apk2024-05-12 00:19 4.4K 
[   ]surfraw-2.3.0-r0.apk2023-08-19 19:23 78K 
[   ]surfraw-doc-2.3.0-r0.apk2023-08-19 19:23 17K 
[   ]suru-icon-theme-2025.05.0-r0.apk2025-05-10 14:49 2.9M 
[   ]svgbob-0.7.6-r0.apk2025-07-19 15:21 461K 
[   ]svls-0.2.12-r0.apk2024-06-13 04:48 3.4M 
[   ]svls-doc-0.2.12-r0.apk2024-06-13 04:48 2.0K 
[   ]swaks-20240103.0-r0.apk2024-01-08 08:07 66K 
[   ]swaks-doc-20240103.0-r0.apk2024-01-08 08:07 49K 
[   ]swappy-1.7.1-r0.apk2025-08-22 00:35 28K 
[   ]swappy-doc-1.7.1-r0.apk2025-08-22 00:35 3.6K 
[   ]swappy-lang-1.7.1-r0.apk2025-08-22 00:35 3.5K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-03 07:22 9.5K 
[   ]swhkd-1.2.1-r0.apk2024-10-01 22:19 1.0M 
[   ]swhkd-doc-1.2.1-r0.apk2024-10-01 22:19 5.9K 
[   ]sxcs-1.1.0-r0.apk2024-06-06 21:03 8.0K 
[   ]sxcs-doc-1.1.0-r0.apk2024-06-06 21:03 2.4K 
[   ]sydbox-3.21.3-r0.apk2024-06-12 11:36 1.4M 
[   ]sydbox-doc-3.21.3-r0.apk2024-06-12 11:36 84K 
[   ]sydbox-oci-3.21.3-r0.apk2024-06-12 11:36 1.8M 
[   ]sydbox-utils-3.21.3-r0.apk2024-06-12 11:36 6.0M 
[   ]sydbox-vim-3.21.3-r0.apk2024-06-12 11:36 5.0K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-09-26 21:36 8.0K 
[   ]symengine-0.12.0-r0.apk2024-06-30 19:18 6.2M 
[   ]symlinks-1.4.3-r0.apk2025-04-21 21:27 5.6K 
[   ]symlinks-doc-1.4.3-r0.apk2025-04-21 21:27 3.6K 
[   ]sympow-2.023.7-r2.apk2024-09-07 04:16 1.8M 
[   ]sympow-doc-2.023.7-r2.apk2024-09-07 04:16 2.8K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-08-08 18:23 440K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-08-08 18:23 1.9K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-08-08 18:23 220K 
[   ]t2sz-1.1.2-r0.apk2023-04-19 22:32 7.9K 
[   ]tachyon-0.99_beta6-r1.apk2024-03-29 11:33 105K 
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-03-29 11:33 1.9M 
[   ]tailspin-5.4.2-r0.apk2025-05-15 20:20 1.2M 
[   ]tailspin-bash-completion-5.4.2-r0.apk2025-05-15 20:20 2.0K 
[   ]tailspin-doc-5.4.2-r0.apk2025-05-15 20:20 2.8K 
[   ]tailspin-fish-completion-5.4.2-r0.apk2025-05-15 20:20 1.9K 
[   ]tailspin-zsh-completion-5.4.2-r0.apk2025-05-15 20:20 2.2K 
[   ]tang-15-r0.apk2025-01-20 05:38 16K 
[   ]tang-dbg-15-r0.apk2025-01-20 05:38 30K 
[   ]tang-doc-15-r0.apk2025-01-20 05:38 20K 
[   ]tang-openrc-15-r0.apk2025-01-20 05:38 1.6K 
[   ]tangara-companion-0.5.0-r0.apk2025-09-26 04:02 940K 
[   ]tangctl-0_git20241007-r8.apk2025-10-15 12:16 2.6M 
[   ]tanidvr-1.4.1-r2.apk2025-03-21 13:27 25K 
[   ]tanidvr-dhav2mkv-1.4.1-r2.apk2025-03-21 13:27 14K 
[   ]tanka-0.32.0-r4.apk2025-10-15 12:16 4.4M 
[   ]tartube-2.5.0-r1.apk2024-08-07 15:51 2.7M 
[   ]tartube-pyc-2.5.0-r1.apk2024-08-07 15:51 1.1M 
[   ]taskwarrior-tui-0.26.3-r0.apk2025-03-26 10:50 1.2M 
[   ]taskwarrior-tui-bash-completion-0.26.3-r0.apk2025-03-26 10:50 1.7K 
[   ]taskwarrior-tui-doc-0.26.3-r0.apk2025-03-26 10:50 3.7K 
[   ]taskwarrior-tui-fish-completion-0.26.3-r0.apk2025-03-26 10:50 1.5K 
[   ]tayga-0.9.5-r0.apk2025-06-20 08:03 26K 
[   ]tayga-doc-0.9.5-r0.apk2025-06-20 08:03 5.8K 
[   ]tcl-curl-7.22.0-r0.apk2023-01-18 21:04 28K 
[   ]tcl-curl-doc-7.22.0-r0.apk2023-01-18 21:04 37K 
[   ]tcl9-9.0.2-r0.apk2025-07-03 08:26 1.8M 
[   ]tcl9-dev-9.0.2-r0.apk2025-07-03 08:26 186K 
[   ]tcl9-doc-9.0.2-r0.apk2025-07-03 08:26 1.4M 
[   ]tcmu-runner-1.6.0-r6.apk2024-10-13 18:32 83K 
[   ]tcmu-runner-doc-1.6.0-r6.apk2024-10-13 18:32 2.3K 
[   ]tcmu-runner-rbd-1.6.0-r6.apk2024-10-13 18:32 12K 
[   ]tcpbench-3.00-r1.apk2025-09-26 04:02 23K 
[   ]tcpbench-doc-3.00-r1.apk2025-09-26 04:02 5.0K 
[   ]tdrop-0.5.0-r0.apk2022-05-06 23:03 12K 
[   ]tdrop-doc-0.5.0-r0.apk2022-05-06 23:03 8.9K 
[   ]tealdeer-1.7.2-r0.apk2025-03-23 14:03 868K 
[   ]tealdeer-bash-completion-1.7.2-r0.apk2025-03-23 14:03 1.7K 
[   ]tealdeer-fish-completion-1.7.2-r0.apk2025-03-23 14:03 1.9K 
[   ]tealdeer-zsh-completion-1.7.2-r0.apk2025-03-23 14:03 2.1K 
[   ]telegram-bot-api-9.1-r0.apk2025-08-02 11:27 8.4M 
[   ]telegram-tdlib-1.8.51-r0.apk2025-08-02 10:50 8.5M 
[   ]telegram-tdlib-dev-1.8.51-r0.apk2025-08-02 10:50 188K 
[   ]telegram-tdlib-static-1.8.51-r0.apk2025-08-02 10:50 35M 
[   ]templ-0.3.920-r3.apk2025-10-15 12:16 5.1M 
[   ]tempo-2.9.0-r0.apk2025-10-16 13:48 31M 
[   ]tempo-cli-2.9.0-r0.apk2025-10-16 13:48 22M 
[   ]tempo-openrc-2.9.0-r0.apk2025-10-16 13:48 1.6K 
[   ]tempo-query-2.9.0-r0.apk2025-10-16 13:48 6.5M 
[   ]tempo-vulture-2.9.0-r0.apk2025-10-16 13:48 15M 
[   ]tempo-vulture-openrc-2.9.0-r0.apk2025-10-16 13:48 1.7K 
[   ]tenv-4.7.6-r4.apk2025-10-15 12:16 9.4M 
[   ]tenv-bash-completion-4.7.6-r4.apk2025-10-15 12:16 5.8K 
[   ]tenv-fish-completion-4.7.6-r4.apk2025-10-15 12:16 4.1K 
[   ]tenv-zsh-completion-4.7.6-r4.apk2025-10-15 12:16 3.8K 
[   ]tere-1.6.0-r0.apk2024-09-16 11:50 1.0M 
[   ]tere-doc-1.6.0-r0.apk2024-09-16 11:50 14K 
[   ]termbox-1.1.2-r1.apk2023-05-21 12:09 12K 
[   ]termbox-dev-1.1.2-r1.apk2023-05-21 12:09 5.5K 
[   ]termbox-static-1.1.2-r1.apk2023-05-21 12:09 21K 
[   ]termcolor-2.1.0-r0.apk2022-10-28 14:12 1.2K 
[   ]termcolor-dev-2.1.0-r0.apk2022-10-28 14:12 6.6K 
[   ]terminalpp-0.8.4-r0.apk2022-10-13 04:22 398K 
[   ]terminalpp-ropen-0.8.4-r0.apk2022-10-13 04:22 57K 
[   ]terminology-1.14.0-r0.apk2025-05-27 23:06 2.7M 
[   ]terminology-doc-1.14.0-r0.apk2025-05-27 23:06 8.7K 
[   ]terminology-lang-1.14.0-r0.apk2025-05-27 23:06 143K 
[   ]termusic-mpv-0.12.0-r0.apk2025-10-15 12:16 9.7M 
[   ]texlab-5.23.1-r0.apk2025-08-22 08:20 8.8M 
[   ]tfupdate-0.8.2-r10.apk2025-10-15 12:16 4.7M 
[   ]tfupdate-doc-0.8.2-r10.apk2025-10-15 12:16 2.0K 
[   ]theforceengine-1.09.540-r1.apk2024-03-03 07:17 6.9M 
[   ]theforceengine-doc-1.09.540-r1.apk2024-03-03 07:17 6.3M 
[   ]thefuck-3.32-r5.apk2024-08-31 15:07 83K 
[   ]thefuck-pyc-3.32-r5.apk2024-08-31 15:07 155K 
[   ]theme.sh-1.1.5-r0.apk2023-09-05 09:48 39K 
[   ]theme.sh-doc-1.1.5-r0.apk2023-09-05 09:48 2.1K 
[   ]throttled-0.10.0-r1.apk2024-12-15 19:23 14K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 19:23 1.3K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 19:23 28K 
[   ]thumbdrives-0.3.2-r2.apk2024-05-07 08:33 11K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2025-03-10 19:00 22K 
[   ]thunarx-python-0.5.2-r2.apk2024-04-30 01:07 9.3K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-04-30 01:07 25K 
[   ]tick-1.2.3-r0.apk2025-10-06 06:06 10K 
[   ]tick-doc-1.2.3-r0.apk2025-10-06 06:06 5.3K 
[   ]ticker-4.8.1-r6.apk2025-10-15 12:16 4.0M 
[   ]ticker-bash-completion-4.8.1-r6.apk2025-10-15 12:16 4.3K 
[   ]ticker-fish-completion-4.8.1-r6.apk2025-10-15 12:16 3.6K 
[   ]ticker-zsh-completion-4.8.1-r6.apk2025-10-15 12:16 3.5K 
[   ]timeshift-25.07.7-r0.apk2025-09-26 04:02 446K 
[   ]timeshift-doc-25.07.7-r0.apk2025-09-26 04:02 2.9K 
[   ]timeshift-lang-25.07.7-r0.apk2025-09-26 04:02 927K 
[   ]timew-1.4.3-r1.apk2022-10-25 13:04 259K 
[   ]timew-bash-completion-1.4.3-r1.apk2022-10-25 13:04 2.5K 
[   ]timew-doc-1.4.3-r1.apk2022-10-25 13:04 53K 
[   ]timewarrior-1.7.1-r0.apk2024-01-17 22:58 269K 
[   ]timewarrior-doc-1.7.1-r0.apk2024-01-17 22:58 22K 
[   ]timoni-0.23.0-r9.apk2025-10-15 12:16 23M 
[   ]timoni-bash-completion-0.23.0-r9.apk2025-10-15 12:16 7.7K 
[   ]timoni-doc-0.23.0-r9.apk2025-10-15 12:16 338K 
[   ]timoni-fish-completion-0.23.0-r9.apk2025-10-15 12:16 4.1K 
[   ]timoni-zsh-completion-0.23.0-r9.apk2025-10-15 12:16 3.8K 
[   ]tintin-2.02.31-r0.apk2023-08-26 16:31 1.9M 
[   ]tinyemu-2019.12.21-r0.apk2025-05-25 23:41 157K 
[   ]tinygltf-2.9.6-r0.apk2025-06-09 02:03 146K 
[   ]tinygltf-dev-2.9.6-r0.apk2025-06-09 02:03 57K 
[   ]tinyscheme-1.42-r1.apk2022-10-14 19:59 50K 
[   ]tk9-9.0.2-r0.apk2025-07-03 08:26 849K 
[   ]tk9-dev-9.0.2-r0.apk2025-07-03 08:26 82K 
[   ]tk9-doc-9.0.2-r0.apk2025-07-03 08:26 1.3M 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 17:09 12K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 17:09 3.2K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 17:09 14K 
[   ]tmate-2.4.0-r4.apk2023-03-07 23:50 229K 
[   ]tmate-doc-2.4.0-r4.apk2023-03-07 23:50 71K 
[   ]tmpl-0.4.0-r15.apk2025-10-15 12:16 2.6M 
[   ]tmpl-doc-0.4.0-r15.apk2025-10-15 12:16 2.0K 
[   ]tmpmail-1.2.3-r2.apk2023-12-14 16:55 6.8K 
[   ]tmpmail-doc-1.2.3-r2.apk2023-12-14 16:55 3.0K 
[   ]tmux-resurrect-4.0.0-r0.apk2022-10-07 06:50 14K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2022-10-07 06:50 8.1K 
[   ]tncattach-0.1.9-r1.apk2022-10-25 13:04 20K 
[   ]tncattach-doc-0.1.9-r1.apk2022-10-25 13:04 3.7K 
[   ]tnef-1.4.18-r0.apk2024-03-30 17:39 25K 
[   ]tnef-doc-1.4.18-r0.apk2024-03-30 17:39 4.0K 
[   ]toapk-1.0-r0.apk2023-12-14 16:55 10K 
[   ]today-6.2.1-r0.apk2025-06-17 16:26 2.9K 
[   ]today-doc-6.2.1-r0.apk2025-06-17 16:26 3.0K 
[   ]toml2json-1.3.2-r0.apk2025-09-01 13:17 352K 
[   ]toml2json-doc-1.3.2-r0.apk2025-09-01 13:17 3.4K 
[   ]tonutils-reverse-proxy-0.4.6-r3.apk2025-10-15 12:16 4.3M 
[   ]tonutils-reverse-proxy-doc-0.4.6-r3.apk2025-10-15 12:16 3.0K 
[   ]topgit-0.19.13-r1.apk2022-10-25 13:04 126K 
[   ]topgit-bash-completion-0.19.13-r1.apk2022-10-25 13:04 3.8K 
[   ]topgit-doc-0.19.13-r1.apk2022-10-25 13:04 73K 
[   ]torrent-file-editor-0.3.18-r0.apk2023-06-27 23:01 361K 
[   ]toss-1.1-r1.apk2025-05-29 12:38 10K 
[   ]touchpad-emulator-0.3-r0.apk2025-05-26 19:38 13K 
[   ]toybox-0.8.13-r0.apk2025-10-16 10:31 260K 
[   ]tpm2-pkcs11-1.9.1-r0.apk2024-09-25 23:29 133K 
[   ]tpm2-pkcs11-dev-1.9.1-r0.apk2024-09-25 23:29 1.6K 
[   ]tpm2-pkcs11-pyc-1.9.1-r0.apk2024-09-25 23:29 69K 
[   ]tpp-bypass-0.8.4-r0.apk2022-10-13 04:22 12K 
[   ]tqm-1.17.0-r1.apk2025-10-15 12:16 4.5M 
[   ]trace-cmd-3.3.1-r1.apk2025-01-20 05:38 164K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-20 05:38 3.1K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-20 05:38 483K 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-20 05:38 171K 
[   ]transito-0.9.1-r12.apk2025-10-15 12:16 8.2M 
[   ]transito-doc-0.9.1-r12.apk2025-10-15 12:16 755K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2023-06-20 16:09 145K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2023-06-20 16:09 4.0K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2023-06-20 16:09 106K 
[   ]trantor-1.5.18-r0.apk2024-05-07 08:33 222K 
[   ]trantor-dev-1.5.18-r0.apk2024-05-07 08:33 34K 
[   ]trantor-doc-1.5.18-r0.apk2024-05-07 08:33 2.4K 
[   ]tre-0.8.0-r2.apk2023-05-21 12:09 27K 
[   ]tre-dev-0.8.0-r2.apk2023-05-21 12:09 4.9K 
[   ]tre-static-0.8.0-r2.apk2023-05-21 12:09 52K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2023-12-16 02:06 77K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2023-12-16 02:06 2.0K 
[   ]tree-sitter-clojure-0.0.13-r0.apk2025-07-23 12:00 23K 
[   ]tree-sitter-dart-0_git20250228-r0.apk2025-03-10 23:50 102K 
[   ]tree-sitter-git-commit-0_git20211225-r4.apk2025-07-25 15:02 14K 
[   ]tree-sitter-git-diff-0_git20230730-r1.apk2025-07-25 15:02 10K 
[   ]tree-sitter-git-rebase-0_git20240722-r0.apk2025-03-10 23:50 4.8K 
[   ]tree-sitter-gleam-1.1.0-r0.apk2025-10-15 12:16 66K 
[   ]tree-sitter-hare-0_git20230616-r2.apk2025-07-22 23:04 35K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 16:01 287K 
[   ]tree-sitter-hcl-1.2.0-r0.apk2025-06-19 01:09 23K 
[   ]tree-sitter-just-0_git20230318-r0.apk2023-12-23 08:03 15K 
[   ]tree-sitter-make-0_git20211216-r2.apk2023-12-14 16:55 43K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-08-18 13:41 83K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-08-18 13:41 2.0K 
[   ]treecat-1.0.2_git20240706-r1.apk2024-11-29 13:20 131K 
[   ]treecat-doc-1.0.2_git20240706-r1.apk2024-11-29 13:20 3.0K 
[   ]tremc-0.9.4-r0.apk2025-07-16 12:26 52K 
[   ]tremc-bash-completion-0.9.4-r0.apk2025-07-16 12:26 1.6K 
[   ]tremc-doc-0.9.4-r0.apk2025-07-16 12:26 2.6K 
[   ]tremc-zsh-completion-0.9.4-r0.apk2025-07-16 12:26 1.6K 
[   ]trigger-rally-0.6.7-r3.apk2025-03-25 23:01 304K 
[   ]trigger-rally-data-0.6.7-r3.apk2025-03-25 23:02 352M 
[   ]trigger-rally-doc-0.6.7-r3.apk2025-03-25 23:02 28K 
[   ]trippy-0.13.0-r0.apk2025-05-18 23:35 2.2M 
[   ]trippy-bash-completion-0.13.0-r0.apk2025-05-18 23:35 2.9K 
[   ]trippy-zsh-completion-0.13.0-r0.apk2025-05-18 23:35 4.6K 
[   ]trivy-0.66.0-r2.apk2025-10-15 12:16 65M 
[   ]tsung-1.8.0-r3.apk2025-06-13 07:01 721K 
[   ]ttfautohint-1.8.4-r0.apk2024-05-07 08:33 28K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-05-07 08:33 260K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-05-07 08:33 7.8K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-05-07 08:33 59K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-05-07 08:33 106K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-01-19 04:11 8.0K 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-01-19 04:11 2.9K 
[   ]tty-proxy-0.0.2-r32.apk2025-10-15 12:16 2.5M 
[   ]tty-share-2.4.0-r22.apk2025-10-15 12:16 3.7M 
[   ]ttynvt-0.17-r0.apk2025-08-22 18:13 14K 
[   ]ttyper-1.6.0-r0.apk2025-02-05 23:09 586K 
[   ]tui-journal-0.10.0-r0.apk2024-09-01 17:02 1.9M 
[   ]tui-journal-doc-0.10.0-r0.apk2024-09-01 17:02 6.6K 
[   ]tuned-2.26.0-r0.apk2025-08-27 04:27 160K 
[   ]tuned-bash-completion-2.26.0-r0.apk2025-08-27 04:27 1.7K 
[   ]tuned-doc-2.26.0-r0.apk2025-08-27 04:27 79K 
[   ]tuned-gtk-2.26.0-r0.apk2025-08-27 04:27 22K 
[   ]tuned-openrc-2.26.0-r0.apk2025-08-27 04:27 1.4K 
[   ]tuned-ppd-2.26.0-r0.apk2025-08-27 04:27 3.4K 
[   ]tuned-ppd-openrc-2.26.0-r0.apk2025-08-27 04:27 1.5K 
[   ]tuned-profiles-2.26.0-r0.apk2025-08-27 04:27 8.1K 
[   ]tuned-profiles-compat-2.26.0-r0.apk2025-08-27 04:27 3.3K 
[   ]tuned-pyc-2.26.0-r0.apk2025-08-27 04:27 335K 
[   ]tuned-utils-2.26.0-r0.apk2025-08-27 04:27 10K 
[   ]tup-0.7.11-r1.apk2025-06-19 14:40 233K 
[   ]tup-doc-0.7.11-r1.apk2025-06-19 14:40 21K 
[   ]tup-vim-0.7.11-r1.apk2025-06-19 14:40 2.3K 
[   ]tuptime-5.2.4-r2.apk2025-09-26 04:02 14K 
[   ]tuptime-doc-5.2.4-r2.apk2025-09-26 04:02 3.5K 
[   ]tuptime-openrc-5.2.4-r2.apk2025-09-26 04:02 1.5K 
[   ]turn-rs-3.4.0-r1.apk2025-06-13 01:58 600K 
[   ]turn-rs-doc-3.4.0-r1.apk2025-06-13 01:58 11K 
[   ]turn-rs-openrc-3.4.0-r1.apk2025-06-13 01:58 1.7K 
[   ]turnstile-0.1.10-r3.apk2024-09-16 21:06 37K 
[   ]turnstile-doc-0.1.10-r3.apk2024-09-16 21:06 5.4K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-09-16 21:06 1.5K 
[   ]turntable-0.3.3-r0.apk2025-05-27 17:06 179K 
[   ]turntable-lang-0.3.3-r0.apk2025-05-27 17:06 12K 
[   ]twemproxy-0.5.0-r0.apk2022-05-01 06:21 62K 
[   ]twemproxy-doc-0.5.0-r0.apk2022-05-01 06:21 17K 
[   ]twinkle-1.10.3-r3.apk2025-01-04 21:57 2.4M 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 21:57 3.2K 
[   ]ty-0.0.1_alpha19-r0.apk2025-09-01 00:50 5.8M 
[   ]ty-bash-completion-0.0.1_alpha19-r0.apk2025-09-01 00:50 2.3K 
[   ]ty-fish-completion-0.0.1_alpha19-r0.apk2025-09-01 00:50 2.8K 
[   ]ty-pyc-0.0.1_alpha19-r0.apk2025-09-01 00:50 3.6K 
[   ]ty-zsh-completion-0.0.1_alpha19-r0.apk2025-09-01 00:50 3.1K 
[   ]typobuster-1.0.0-r0.apk2025-04-24 00:11 129K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 19:35 495K 
[   ]u-boot-spacemit-2022.10.2.0.4-r0.apk2024-12-19 20:35 927K 
[   ]u1db-qt-0.1.8-r0.apk2024-08-29 10:07 97K 
[   ]uasm-2.56.2-r0.apk2023-12-14 16:55 300K 
[   ]ubase-20200605-r3.apk2024-09-29 15:31 43K 
[   ]ubase-doc-20200605-r3.apk2024-09-29 15:31 21K 
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2023-12-14 16:55 16KUbuntu Linux
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2023-12-14 16:55 2.0KUbuntu Linux
[   ]ubus-2025.10.04-r0.apk2025-10-06 21:43 35K 
[   ]ubus-dev-2025.10.04-r0.apk2025-10-06 21:43 5.3K 
[   ]uclient-20241022-r0.apk2025-05-29 22:00 18K 
[   ]uclient-dev-20241022-r0.apk2025-05-29 22:00 3.3K 
[   ]uclient-fetch-20241022-r0.apk2025-05-29 22:00 9.8K 
[   ]udpt-3.1.2-r0.apk2023-12-19 05:47 698K 
[   ]udpt-openrc-3.1.2-r0.apk2023-12-19 05:47 1.5K 
[   ]ueberzug-18.3.1-r0.apk2025-02-22 11:09 65K 
[   ]ueberzug-pyc-18.3.1-r0.apk2025-02-22 11:09 64K 
[   ]ufw-docker-250710-r0.apk2025-09-26 04:02 7.2K 
[   ]ufw-docker-doc-250710-r0.apk2025-09-26 04:02 13K 
[   ]undock-0.10.0-r4.apk2025-10-15 12:16 9.9M 
[   ]unit-php81-1.35.0-r1.apk2025-09-26 04:02 31K 
[   ]unit-php85-1.35.0-r2.apk2025-09-28 04:37 31K 
[   ]up-0.4-r33.apk2025-10-15 12:16 1.2M 
[   ]upterm-0.14.3-r9.apk2025-10-15 12:16 5.9M 
[   ]upterm-bash-completion-0.14.3-r9.apk2025-10-15 12:16 5.3K 
[   ]upterm-doc-0.14.3-r9.apk2025-10-15 12:16 6.1K 
[   ]upterm-server-0.14.3-r9.apk2025-10-15 12:16 5.8M 
[   ]upterm-server-openrc-0.14.3-r9.apk2025-10-15 12:16 1.6K 
[   ]upterm-zsh-completion-0.14.3-r9.apk2025-10-15 12:16 3.8K 
[   ]urlwatch-2.28-r2.apk2024-08-07 01:51 48K 
[   ]urlwatch-doc-2.28-r2.apk2024-08-07 01:51 33K 
[   ]urlwatch-pyc-2.28-r2.apk2024-08-07 01:51 101K 
[   ]usbmuxd-1.1.1_git20250201-r9.apk2025-08-24 08:06 34K 
[   ]usbmuxd-doc-1.1.1_git20250201-r9.apk2025-08-24 08:06 2.7K 
[   ]usbmuxd-udev-1.1.1_git20250201-r9.apk2025-08-24 08:06 1.8K 
[   ]usbtop-1.0-r0.apk2025-04-15 14:08 13K 
[   ]ustr-1.0.4-r1.apk2023-05-21 12:09 56K 
[   ]ustr-debug-1.0.4-r1.apk2023-05-21 12:09 75K 
[   ]ustr-dev-1.0.4-r1.apk2023-05-21 12:09 91K 
[   ]ustr-doc-1.0.4-r1.apk2023-05-21 12:09 96K 
[   ]ustr-static-1.0.4-r1.apk2023-05-21 12:09 356K 
[   ]uucp-1.07-r6.apk2024-10-04 04:34 494K 
[   ]uucp-doc-1.07-r6.apk2024-10-04 04:34 118K 
[   ]uxn-1.0-r0.apk2024-03-25 12:20 44K 
[   ]uxn-doc-1.0-r0.apk2024-03-25 12:20 3.9K 
[   ]vals-0.42.0-r3.apk2025-10-15 12:16 36M 
[   ]varnish-modules-0.26.0-r0.apk2025-07-07 05:43 40K 
[   ]varnish-modules-doc-0.26.0-r0.apk2025-07-07 05:43 21K 
[   ]vcdimager-2.0.1-r5.apk2025-01-22 10:34 480K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-22 10:34 188K 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-22 10:34 74K 
[   ]vcmi-1.6.8-r2.apk2025-09-28 04:37 13M 
[   ]vcsh-2.0.5-r0.apk2023-06-08 22:46 8.5K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2023-06-08 22:46 2.7K 
[   ]vcsh-doc-2.0.5-r0.apk2023-06-08 22:46 27K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2023-06-08 22:46 2.7K 
[   ]vcstool-0.3.0-r5.apk2024-04-30 01:07 35K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-04-30 01:07 1.5K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-04-30 01:07 57K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-04-30 01:07 1.4K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-04-30 01:07 1.4K 
[   ]vector-0.50.0-r0.apk2025-10-06 00:12 25M 
[   ]vector-doc-0.50.0-r0.apk2025-10-06 00:12 5.5K 
[   ]vector-openrc-0.50.0-r0.apk2025-10-06 00:12 1.9K 
[   ]vectoroids-1.1.0-r2.apk2024-05-28 11:28 281K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-05-28 11:28 2.0K 
[   ]vera++-1.3.0-r11.apk2025-10-15 12:16 190K 
[   ]vfd-configurations-0_git20230612-r0.apk2023-06-12 22:33 25K 
[   ]vice-3.9-r2.apk2025-09-28 04:37 14M 
[   ]vidcutter-6.0.5.3-r0.apk2024-09-27 21:11 2.8M 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-09-27 21:11 24K 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-09-27 21:11 1.9M 
[   ]video-trimmer-25.03-r0.apk2025-07-01 13:33 390K 
[   ]video-trimmer-lang-25.03-r0.apk2025-07-01 13:33 92K 
[   ]viewnior-1.8-r1.apk2023-06-15 13:05 72K 
[   ]viewnior-doc-1.8-r1.apk2023-06-15 13:05 1.8K 
[   ]viewnior-lang-1.8-r1.apk2023-06-15 13:05 85K 
[   ]vile-9.8z_p1-r2.apk2025-10-06 14:28 778K 
[   ]vile-common-9.8z_p1-r2.apk2025-10-06 14:28 354K 
[   ]vile-doc-9.8z_p1-r2.apk2025-10-06 14:28 357K 
[   ]vim-airline-0.11-r0.apk2021-07-27 08:13 86K 
[   ]vim-airline-doc-0.11-r0.apk2021-07-27 08:13 12K 
[   ]vim-nerdtree-7.1.3-r0.apk2025-03-04 21:19 66K 
[   ]vim-rust-305-r1.apk2025-08-21 08:38 20K 
[   ]vimv-3.1.0-r0.apk2025-10-15 12:16 261K 
[   ]vimv-doc-3.1.0-r0.apk2025-10-15 12:16 1.7K 
[   ]virtctl-1.6.0-r3.apk2025-10-15 12:16 15M 
[   ]virtctl-bash-completion-1.6.0-r3.apk2025-10-15 12:16 4.9K 
[   ]virtctl-fish-completion-1.6.0-r3.apk2025-10-15 12:16 4.1K 
[   ]virtctl-zsh-completion-1.6.0-r3.apk2025-10-15 12:16 3.8K 
[   ]virter-0.29.0-r4.apk2025-10-15 12:16 5.7M 
[   ]virter-bash-completion-0.29.0-r4.apk2025-10-15 12:16 5.8K 
[   ]virter-doc-0.29.0-r4.apk2025-10-15 12:16 15K 
[   ]virter-fish-completion-0.29.0-r4.apk2025-10-15 12:16 4.1K 
[   ]virter-zsh-completion-0.29.0-r4.apk2025-10-15 12:16 3.8K 
[   ]virtualgl-3.1.4-r0.apk2025-10-15 12:16 1.8M 
[   ]virtualgl-dev-3.1.4-r0.apk2025-10-15 12:16 5.9K 
[   ]virtualgl-doc-3.1.4-r0.apk2025-10-15 12:16 314K 
[   ]visidata-3.3-r0.apk2025-09-26 04:02 424K 
[   ]visidata-doc-3.3-r0.apk2025-09-26 04:02 18K 
[   ]visidata-pyc-3.3-r0.apk2025-09-26 04:02 846K 
[   ]visidata-zsh-completion-3.3-r0.apk2025-09-26 04:02 9.2K 
[   ]vit-2.3.2-r1.apk2024-04-30 01:07 80K 
[   ]vit-pyc-2.3.2-r1.apk2024-04-30 01:07 151K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-01-18 23:48 373K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-01-18 23:48 2.8K 
[   ]vlang-0.4.11-r0.apk2025-06-21 17:05 32M 
[   ]vmtouch-1.3.1-r0.apk2023-03-28 12:18 10K 
[   ]vmtouch-doc-1.3.1-r0.apk2023-03-28 12:18 7.8K 
[   ]voikko-fi-2.5-r0.apk2023-06-13 17:35 1.6M 
[   ]volatility3-2.26.0-r0.apk2025-08-13 19:49 1.0M 
[   ]volatility3-pyc-2.26.0-r0.apk2025-08-13 19:49 1.2M 
[   ]volumeicon-0.5.1-r1.apk2022-10-25 13:04 39K 
[   ]volumeicon-lang-0.5.1-r1.apk2022-10-25 13:04 3.5K 
[   ]vym-2.9.26-r0.apk2023-12-23 08:03 2.8M 
[   ]vym-doc-2.9.26-r0.apk2023-12-23 08:03 3.4M 
[   ]w_scan2-1.0.17-r0.apk2025-06-11 20:18 142K 
[   ]w_scan2-doc-1.0.17-r0.apk2025-06-11 20:18 3.9K 
[   ]wabt-1.0.37-r0.apk2025-04-07 08:33 4.8M 
[   ]wabt-doc-1.0.37-r0.apk2025-04-07 08:33 13K 
[   ]wakeonlan-0.42-r0.apk2024-06-26 08:05 4.2K 
[   ]wakeonlan-doc-0.42-r0.apk2024-06-26 08:05 7.3K 
[   ]walk-1.13.0-r7.apk2025-10-15 12:16 3.0M 
[   ]walk-doc-1.13.0-r7.apk2025-10-15 12:16 2.0K 
[   ]walk-sor-0_git20190920-r1.apk2024-08-07 01:51 4.9K 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-08-07 01:51 7.5K 
[   ]warp-s3-1.3.0-r2.apk2025-10-15 12:16 8.0M 
[   ]warpinator-1.8.9-r1.apk2025-10-06 01:19 216K 
[   ]warpinator-lang-1.8.9-r1.apk2025-10-06 01:19 222K 
[   ]warpinator-nemo-1.8.9-r1.apk2025-10-06 01:19 3.9K 
[   ]wasmtime-34.0.1-r0.apk2025-07-21 02:31 7.1M 
[   ]wasmtime-dev-34.0.1-r0.apk2025-07-21 02:31 91K 
[   ]watchbind-0.2.1-r1.apk2024-09-25 23:29 1.1M 
[   ]watchbind-doc-0.2.1-r1.apk2024-09-25 23:29 6.3K 
[   ]watchdog-5.16-r2.apk2024-09-27 06:10 43K 
[   ]watchdog-doc-5.16-r2.apk2024-09-27 06:10 14K 
[   ]watershot-0.2.0-r0.apk2023-07-06 17:21 1.6M 
[   ]way-displays-1.15.0-r0.apk2025-09-30 10:35 107K 
[   ]way-displays-doc-1.15.0-r0.apk2025-09-30 10:35 4.3K 
[   ]way-secure-0.2.0-r0.apk2025-04-18 22:15 197K 
[   ]way-secure-doc-0.2.0-r0.apk2025-04-18 22:15 2.8K 
[   ]wayfire-0.9.0-r0.apk2025-02-27 22:24 2.6M 
[   ]wayfire-dev-0.9.0-r0.apk2025-02-27 22:24 137K 
[   ]wayfire-doc-0.9.0-r0.apk2025-02-27 22:24 3.4K 
[   ]wayfire-plugins-extra-0.9.0-r0.apk2025-02-27 22:24 573K 
[   ]waynergy-0.0.17-r1.apk2025-09-26 04:02 50K 
[   ]wayqt-0.3.0-r1.apk2025-08-21 08:37 139K 
[   ]wayqt-dev-0.3.0-r1.apk2025-08-21 08:37 18K 
[   ]wbg-1.3.0-r0.apk2025-08-12 05:09 41K 
[   ]wch-isp-0.4.1-r2.apk2024-09-30 12:42 10K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-09-30 12:42 2.4K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-09-30 12:42 1.4K 
[   ]wcm-0.9.0-r0.apk2025-02-27 22:24 369K 
[   ]webhookd-1.20.2-r4.apk2025-10-15 12:16 3.2M 
[   ]webhookd-doc-1.20.2-r4.apk2025-10-15 12:16 2.0K 
[   ]webhookd-openrc-1.20.2-r4.apk2025-10-15 12:16 2.0K 
[   ]webtunnel-0.0.2-r5.apk2025-10-15 12:16 3.6M 
[   ]welle-cli-2.7-r0.apk2025-04-08 06:46 304K 
[   ]welle-io-2.7-r0.apk2025-04-08 06:46 400K 
[   ]welle-io-doc-2.7-r0.apk2025-04-08 06:46 3.7K 
[   ]wf-config-0.9.0-r0.apk2025-02-27 22:24 110K 
[   ]wf-config-dev-0.9.0-r0.apk2025-02-27 22:24 16K 
[   ]wf-shell-0.9.0-r0.apk2025-02-27 22:24 6.1M 
[   ]wf-shell-dev-0.9.0-r0.apk2025-02-27 22:24 1.4K 
[   ]wf-shell-doc-0.9.0-r0.apk2025-02-27 22:24 2.8K 
[   ]wgcf-2.2.26-r4.apk2025-10-15 12:16 3.9M 
[   ]wgcf-bash-completion-2.2.26-r4.apk2025-10-15 12:16 5.8K 
[   ]wgcf-fish-completion-2.2.26-r4.apk2025-10-15 12:16 4.1K 
[   ]wgcf-zsh-completion-2.2.26-r4.apk2025-10-15 12:16 3.8K 
[   ]whipper-0.10.0-r5.apk2024-04-30 01:07 113K 
[   ]whipper-pyc-0.10.0-r5.apk2024-04-30 01:07 185K 
[   ]wiki-tui-0.8.2-r1.apk2024-09-23 19:35 1.5M 
[   ]wiki-tui-doc-0.8.2-r1.apk2024-09-23 19:35 4.3K 
[   ]wild-0.6.0_git20251009-r0.apk2025-10-15 12:16 1.3M 
[   ]wild-doc-0.6.0_git20251009-r0.apk2025-10-15 12:16 5.4K 
[   ]wiremapper-0.10.0-r0.apk2022-10-07 06:51 22K 
[   ]wiremix-0.7.0-r0.apk2025-08-22 18:13 813K 
[   ]wiremix-doc-0.7.0-r0.apk2025-08-22 18:13 9.1K 
[   ]wiringx-0_git20240317-r2.apk2025-03-03 16:07 58K 
[   ]wiringx-dev-0_git20240317-r2.apk2025-03-03 16:07 173K 
[   ]witchery-0.0.3-r2.apk2022-10-07 06:51 2.9K 
[   ]wl-clipboard-x11-5-r3.apk2022-10-25 13:04 3.2K 
[   ]wl-clipboard-x11-doc-5-r3.apk2022-10-25 13:04 2.7K 
[   ]wl-gammarelay-0.1.3-r3.apk2025-10-15 12:16 1.6M 
[   ]wl-screenrec-0.1.7-r1.apk2025-08-28 04:28 555K 
[   ]wl-screenrec-bash-completion-0.1.7-r1.apk2025-08-28 04:28 2.2K 
[   ]wl-screenrec-doc-0.1.7-r1.apk2025-08-28 04:28 9.2K 
[   ]wl-screenrec-fish-completion-0.1.7-r1.apk2025-08-28 04:28 3.0K 
[   ]wl-screenrec-zsh-completion-0.1.7-r1.apk2025-08-28 04:28 3.4K 
[   ]wlavu-0_git20201101-r1.apk2022-10-25 13:04 10K 
[   ]wlclock-1.0.1-r0.apk2021-12-31 00:27 14K 
[   ]wlclock-doc-1.0.1-r0.apk2021-12-31 00:27 3.3K 
[   ]wlroots0.17-0.17.4-r3.apk2025-08-13 01:46 375K 
[   ]wlroots0.17-dbg-0.17.4-r3.apk2025-08-13 01:46 1.5M 
[   ]wlroots0.17-dev-0.17.4-r3.apk2025-08-13 01:46 77K 
[   ]wlroots0.18-0.18.2-r3.apk2025-10-04 02:34 386K 
[   ]wlroots0.18-dbg-0.18.2-r3.apk2025-10-04 02:34 1.6M 
[   ]wlroots0.18-dev-0.18.2-r3.apk2025-10-04 02:34 82K 
[   ]wlroots0.18-static-0.18.2-r3.apk2025-10-04 02:34 8.8M 
[   ]wmctrl-1.07-r1.apk2022-03-01 19:45 12K 
[   ]wmctrl-doc-1.07-r1.apk2022-03-01 19:45 5.1K 
[   ]wmi-client-1.3.16-r5.apk2024-09-30 19:13 2.4M 
[   ]wol-0.7.1-r3.apk2024-09-30 21:52 25K 
[   ]wol-doc-0.7.1-r3.apk2024-09-30 21:52 5.3K 
[   ]wol-lang-0.7.1-r3.apk2024-09-30 21:52 7.9K 
[   ]wolfssh-1.4.17-r1.apk2025-09-26 04:02 136K 
[   ]wolfssh-dev-1.4.17-r1.apk2025-09-26 04:02 298K 
[   ]wpa_actiond-1.4-r7.apk2022-10-25 13:04 8.4K 
[   ]wpa_actiond-openrc-1.4-r7.apk2022-10-25 13:04 2.0K 
[   ]wput-0.6.2-r4.apk2022-10-14 19:59 34K 
[   ]wput-doc-0.6.2-r4.apk2022-10-14 19:59 8.0K 
[   ]wroomd-0.1.0-r0.apk2023-10-06 05:59 1.0M 
[   ]wroomd-openrc-0.1.0-r0.apk2023-10-06 05:59 1.4K 
[   ]wshowkeys-1.0-r0.apk2022-03-01 19:45 12K 
[   ]wsmancli-2.8.0-r0.apk2025-07-16 12:26 17K 
[   ]wsmancli-doc-2.8.0-r0.apk2025-07-16 12:26 3.4K 
[   ]wtfutil-0.43.0-r17.apk2025-10-15 12:16 19M 
[   ]x11docker-7.6.0-r1.apk2023-12-19 21:12 113K 
[   ]x11docker-doc-7.6.0-r1.apk2023-12-19 21:12 9.1K 
[   ]xa-2.4.1-r0.apk2025-02-25 13:02 72K 
[   ]xa-doc-2.4.1-r0.apk2025-02-25 13:02 17K 
[   ]xcape-1.2-r1.apk2025-05-15 20:20 6.3K 
[   ]xcape-doc-1.2-r1.apk2025-05-15 20:20 2.8K 
[   ]xcompmgr-1.1.10-r0.apk2025-06-28 11:32 14K 
[   ]xcompmgr-doc-1.1.10-r0.apk2025-06-28 11:32 2.3K 
[   ]xdg-desktop-portal-cosmic-1.0.0_beta2-r0.apk2025-10-18 06:50 9.9M 
[   ]xdg-desktop-portal-hyprland-1.3.10-r0.apk2025-08-25 18:30 310K 
[   ]xdg-desktop-portal-hyprland-doc-1.3.10-r0.apk2025-08-25 18:30 2.2K 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-02-05 06:17 70K 
[   ]xed-3.8.3-r0.apk2025-07-31 20:38 1.1M 
[   ]xed-dev-3.8.3-r0.apk2025-07-31 20:38 13K 
[   ]xed-doc-3.8.3-r0.apk2025-07-31 20:38 971K 
[   ]xed-lang-3.8.3-r0.apk2025-07-31 20:38 2.1M 
[   ]xed-python-3.8.3-r0.apk2025-07-31 20:38 24K 
[   ]xendmail-0.4.4-r0.apk2025-08-06 12:14 922K 
[   ]xendmail-doc-0.4.4-r0.apk2025-08-06 12:14 2.3K 
[   ]xfce4-hamster-plugin-1.17-r0.apk2022-03-01 19:45 30K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2022-03-01 19:45 5.1K 
[   ]xfce4-mixer-4.18.1-r2.apk2023-12-14 16:55 86K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2023-12-14 16:55 2.2K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2023-12-14 16:55 59K 
[   ]xfce4-panel-profiles-1.1.1-r0.apk2025-07-06 09:38 57K 
[   ]xfce4-panel-profiles-doc-1.1.1-r0.apk2025-07-06 09:38 20K 
[   ]xfce4-panel-profiles-lang-1.1.1-r0.apk2025-07-06 09:38 53K 
[   ]xfd-1.1.4-r0.apk2022-12-04 11:40 11K 
[   ]xfd-doc-1.1.4-r0.apk2022-12-04 11:40 4.7K 
[   ]xfsdump-3.2.0-r0.apk2025-08-30 20:48 379K 
[   ]xfsdump-doc-3.2.0-r0.apk2025-08-30 20:48 42K 
[   ]xgalaga-2.1.1.0-r1.apk2022-10-25 13:04 282K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2022-10-25 13:04 2.3K 
[   ]xiccd-0.3.0_git20211219-r1.apk2025-07-16 14:40 15K 
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2025-07-16 14:40 3.3K 
[   ]xisxwayland-2-r1.apk2023-07-30 12:12 3.7K 
[   ]xisxwayland-doc-2-r1.apk2023-07-30 12:12 1.7K 
[   ]xkb-switch-1.8.5-r1.apk2025-05-15 20:20 18K 
[   ]xkb-switch-doc-1.8.5-r1.apk2025-05-15 20:20 1.9K 
[   ]xlhtml-0.5.1-r0.apk2024-03-30 17:39 11K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-03-30 17:39 2.2K 
[   ]xload-1.1.4-r0.apk2022-05-22 15:03 6.0K 
[   ]xload-doc-1.1.4-r0.apk2022-05-22 15:03 3.2K 
[   ]xmag-1.0.8-r0.apk2024-10-13 07:33 16K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-13 07:33 4.5K 
[   ]xml2rfc-3.28.1-r2.apk2025-09-26 04:02 352K 
[   ]xml2rfc-pyc-3.28.1-r2.apk2025-09-26 04:02 407K 
[   ]xmoto-0.6.3-r0.apk2025-10-04 05:28 1.8M 
[   ]xmoto-data-0.6.3-r0.apk2025-10-04 05:28 37M 
[   ]xmoto-doc-0.6.3-r0.apk2025-10-04 05:28 5.6K 
[   ]xmoto-lang-0.6.3-r0.apk2025-10-04 05:28 532K 
[   ]xmp-4.2.0-r0.apk2023-08-20 22:16 23K 
[   ]xmp-doc-4.2.0-r0.apk2023-08-20 22:16 5.1K 
[   ]xmpp-dns-0.2.4-r28.apk2025-10-15 12:16 1.9M 
[   ]xmppipe-0.16.0-r1.apk2024-02-01 20:59 16K 
[   ]xone-src-0.4.8-r0.apk2025-10-07 17:57 57K 
[   ]xonsh-0.19.1-r1.apk2025-09-26 04:02 585K 
[   ]xonsh-pyc-0.19.1-r1.apk2025-09-26 04:02 1.0M 
[   ]xosview-1.24-r0.apk2024-03-25 15:45 118K 
[   ]xosview-doc-1.24-r0.apk2024-03-25 15:45 12K 
[   ]xpar-0.7-r0.apk2025-09-28 04:37 27K 
[   ]xpar-doc-0.7-r0.apk2025-09-28 04:37 4.1K 
[   ]xsane-0.999-r2.apk2024-10-01 05:24 1.5M 
[   ]xsane-doc-0.999-r2.apk2024-10-01 05:24 4.0K 
[   ]xsane-lang-0.999-r2.apk2024-10-01 05:24 440K 
[   ]xsecurelock-1.9.0-r1.apk2024-04-30 01:07 63K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-04-30 01:07 17K 
[   ]xsoldier-1.8-r2.apk2024-07-12 03:34 69K 
[   ]xsoldier-doc-1.8-r2.apk2024-07-12 03:34 2.4K 
[   ]xtensor-0.27.0-r0.apk2025-08-25 06:56 269K 
[   ]xtl-0.8.0-r0.apk2025-07-28 22:37 89K 
[   ]xva-img-1.5-r0.apk2024-10-02 06:03 16K 
[   ]xvidtune-1.0.4-r0.apk2023-02-05 03:41 15K 
[   ]xvidtune-doc-1.0.4-r0.apk2023-02-05 03:41 3.9K 
[   ]xvile-9.8z_p1-r2.apk2025-10-06 14:28 804K 
[   ]xwayland-satellite-0.7-r0.apk2025-08-30 22:21 1.0M 
[   ]yaegi-0.16.1-r12.apk2025-10-15 12:16 7.1M 
[   ]yamkix-0.10.0-r1.apk2024-04-30 01:07 14K 
[   ]yamkix-pyc-0.10.0-r1.apk2024-04-30 01:07 11K 
[   ]yaml-language-server-1.19.2-r0.apk2025-10-15 12:16 666K 
[   ]yaml-language-server-doc-1.19.2-r0.apk2025-10-15 12:16 2.0K 
[   ]yamldiff-0.3.0-r2.apk2025-10-15 12:16 1.6M 
[   ]yamldiff-doc-0.3.0-r2.apk2025-10-15 12:16 2.0K 
[   ]yarn-berry-4.9.1-r0.apk2025-04-15 22:05 1.0M 
[   ]yaru-common-25.10.1-r0.apk2025-07-16 12:26 3.8M 
[   ]yaru-icon-theme-25.10.1-r0.apk2025-07-16 12:26 35M 
[   ]yaru-icon-theme-bark-25.10.1-r0.apk2025-07-16 12:26 1.1M 
[   ]yaru-icon-theme-blue-25.10.1-r0.apk2025-07-16 12:26 1.2M 
[   ]yaru-icon-theme-magenta-25.10.1-r0.apk2025-07-16 12:26 1.1M 
[   ]yaru-icon-theme-mate-25.10.1-r0.apk2025-07-16 12:26 1.3M 
[   ]yaru-icon-theme-olive-25.10.1-r0.apk2025-07-16 12:26 1.1M 
[   ]yaru-icon-theme-prussiangreen-25.10.1-r0.apk2025-07-16 12:26 1.2M 
[   ]yaru-icon-theme-purple-25.10.1-r0.apk2025-07-16 12:26 1.2M 
[   ]yaru-icon-theme-red-25.10.1-r0.apk2025-07-16 12:26 1.2M 
[   ]yaru-icon-theme-sage-25.10.1-r0.apk2025-07-16 12:26 1.2M 
[   ]yaru-icon-theme-viridian-25.10.1-r0.apk2025-07-16 12:26 1.2M 
[   ]yaru-schemas-25.10.1-r0.apk2025-07-16 12:26 1.6K 
[   ]yaru-shell-25.10.1-r0.apk2025-07-16 12:26 227K 
[   ]yaru-sounds-25.10.1-r0.apk2025-07-16 12:26 1.2M 
[   ]yaru-theme-25.10.1-r0.apk2025-07-16 12:26 840K 
[   ]yaru-theme-bark-25.10.1-r0.apk2025-07-16 12:26 763K 
[   ]yaru-theme-blue-25.10.1-r0.apk2025-07-16 12:26 766K 
[   ]yaru-theme-hdpi-25.10.1-r0.apk2025-07-16 12:26 73K 
[   ]yaru-theme-magenta-25.10.1-r0.apk2025-07-16 12:26 762K 
[   ]yaru-theme-mate-25.10.1-r0.apk2025-07-16 12:26 772K 
[   ]yaru-theme-olive-25.10.1-r0.apk2025-07-16 12:26 759K 
[   ]yaru-theme-prussiangreen-25.10.1-r0.apk2025-07-16 12:26 759K 
[   ]yaru-theme-purple-25.10.1-r0.apk2025-07-16 12:26 757K 
[   ]yaru-theme-red-25.10.1-r0.apk2025-07-16 12:26 760K 
[   ]yaru-theme-sage-25.10.1-r0.apk2025-07-16 12:26 763K 
[   ]yaru-theme-viridian-25.10.1-r0.apk2025-07-16 12:26 760K 
[   ]ydcv-0.7-r8.apk2024-09-02 20:36 20K 
[   ]ydcv-pyc-0.7-r8.apk2024-09-02 20:36 10K 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-09-02 20:36 1.9K 
[   ]yices2-2.6.5-r0.apk2025-03-03 01:53 2.2M 
[   ]yices2-dev-2.6.5-r0.apk2025-03-03 01:53 41K 
[   ]yices2-libs-2.6.5-r0.apk2025-03-03 01:53 845K 
[   ]yoshimi-2.3.3.3-r0.apk2025-03-14 22:16 5.8M 
[   ]yoshimi-doc-2.3.3.3-r0.apk2025-03-14 22:16 4.5M 
[   ]youtube-viewer-3.11.6-r0.apk2025-06-09 05:05 84K 
[   ]youtube-viewer-doc-3.11.6-r0.apk2025-06-09 05:05 40K 
[   ]youtube-viewer-gtk-3.11.6-r0.apk2025-06-09 05:05 171K 
[   ]ytmdl-2024.08.15.1-r1.apk2025-05-15 20:20 50K 
[   ]ytmdl-bash-completion-2024.08.15.1-r1.apk2025-05-15 20:20 2.0K 
[   ]ytmdl-pyc-2024.08.15.1-r1.apk2025-05-15 20:20 78K 
[   ]ytmdl-zsh-completion-2024.08.15.1-r1.apk2025-05-15 20:20 1.9K 
[   ]ytt-0.52.1-r1.apk2025-10-15 12:16 4.5M 
[   ]yubikey-agent-0.1.6-r16.apk2025-10-15 12:16 1.9M 
[   ]z-1.12-r0.apk2023-12-14 16:55 4.3K 
[   ]z-doc-1.12-r0.apk2023-12-14 16:55 3.7K 
[   ]zafiro-icon-theme-1.3-r0.apk2023-02-05 03:41 19M 
[   ]zapret-0.0.0_git20220125-r1.apk2024-06-17 08:37 81K 
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-06-17 08:37 98K 
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-06-17 08:37 1.8K 
[   ]zarchive-0.1.2-r2.apk2023-08-19 19:23 15K 
[   ]zarchive-dev-0.1.2-r2.apk2023-08-19 19:23 6.5K 
[   ]zarchive-libs-0.1.2-r2.apk2023-08-19 19:23 24K 
[   ]zfs-src-2.3.4-r0.apk2025-08-28 13:31 33M 
[   ]zile-2.6.2-r1.apk2024-09-29 22:44 118K 
[   ]zile-doc-2.6.2-r1.apk2024-09-29 22:44 16K 
[   ]zita-njbridge-0.4.8-r1.apk2022-10-25 13:04 26K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2022-10-25 13:04 5.0K 
[   ]zita-resampler-1.11.2-r0.apk2025-04-15 14:08 18K 
[   ]zita-resampler-dev-1.11.2-r0.apk2025-04-15 14:08 3.0K 
[   ]zita-resampler-doc-1.11.2-r0.apk2025-04-15 14:08 3.8K 
[   ]zizmor-1.15.0-r0.apk2025-10-15 22:29 3.7M 
[   ]zizmor-doc-1.15.0-r0.apk2025-10-15 22:29 2.0K 
[   ]zpaq-7.15-r0.apk2025-10-15 12:16 172K 
[   ]zpaq-doc-7.15-r0.apk2025-10-15 12:16 16K 
[   ]zrepl-0.6.1-r15.apk2025-10-15 12:16 6.6M 
[   ]zrepl-bash-completion-0.6.1-r15.apk2025-10-15 12:16 4.2K 
[   ]zrepl-openrc-0.6.1-r15.apk2025-10-15 12:16 1.5K 
[   ]zrepl-zsh-completion-0.6.1-r15.apk2025-10-15 12:16 1.7K 
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-06-17 08:37 16K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2023-08-19 19:23 2.6K 
[   ]zutty-0.16-r0.apk2025-01-12 21:23 155K 
[   ]zutty-doc-0.16-r0.apk2025-01-12 21:23 66K 
[   ]zvbi-0.2.44-r0.apk2025-03-11 22:14 182K 
[   ]zvbi-doc-0.2.44-r0.apk2025-03-11 22:14 21K 
[   ]zycore-1.5.0-r1.apk2025-07-16 12:26 20K 
[   ]zycore-dev-1.5.0-r1.apk2025-07-16 12:26 37K 
[   ]zycore-doc-1.5.0-r1.apk2025-07-16 12:26 432K